Part Number Hot Search : 
BTS5215 M3A11FBD DTA123 RD07MVS1 GS88218 YG963S6 ND31381 ND31381
Product Description
Full Text Search
 

To Download MC9S12NE64V1 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 MC9S12NE64
Data Sheet
HCS12 Microcontrollers
MC9S12NE64V1 Rev 1.0 09/2004
freescale.com
MC9S12NE64 Data Sheet
MC9S12NE64V1 Rev 1.0 09/2004
To provide the most up-to-date information, the revision of our documents on the World Wide Web will be the most current. Your printed copy may be an earlier revision. To verify you have the latest information available, refer to: http://freescale.com/ The following revision history table summarizes changes contained in this document.
Revision History
Date September, 2004 Revision Level 1.0 Initial external release. Description
FreescaleTM and the Freescale logo are trademarks of Freescale Semiconductor, Inc. This product incorporates SuperFlash(R) technology licensed from SST. (c) Freescale Semiconductor, Inc., 2004. All rights reserved. MC9S12NE64 Data Sheet, Rev 1.0 4 Freescale Semiconductor
Device Overview 64K Byte Flash (FTS64K) Block Description Port Integration Module (PIM) Block Description Clocks and Reset Generator (CRG) Block Description Oscillator (OSC) Block Description 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description Analog-to-Digital Converter (ATD_10B8C) Block Description Serial Communications Interface (SCI) Block Description Serial Peripheral Interface (SPI) Block Description Inter-Integrated Circuit (IIC) Block Description Ethernet Media Access Controller (EMAC) Block Description Ethernet Physical Transceiver (EPHY) Block Description Output Voltage Regulator (VREG_PHY) Block Description Interrupt (INT) Block Description Multiplexed External Bus Interface (MEBI) Block Description Module Mapping Control (MMC) Block Description Background Debug Module (BDM) Block Description Debug Module (DBG) Block Description Electrical Characteristics Schematic and PCB Layout Design Recommendations Package Information
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 5
MC9S12NE64 Data Sheet, Rev 1.0 6 Freescale Semiconductor
Contents
Section Number Title Chapter 1 Device Overview
1.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 1.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 1.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 1.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 1.1.4 Device Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 1.1.5 Detailed Register Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 1.1.6 Part ID Assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 1.2.1 Device Pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 1.2.1.1 112-Pin LQFP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 1.2.1.2 80-Pin TQFP-EP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 1.2.2 Signal Properties Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 1.2.3 Detailed Signal Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 1.2.3.1 EXTAL, XTAL -- Oscillator Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 RESET -- External Reset Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 1.2.3.2 1.2.3.3 XFC -- PLL Loop Filter Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 1.2.3.4 BKGD / MODC / TAGHI -- Background Debug / Tag High / Mode Pin . . 73 1.2.3.5 PA[7:0] / ADDR[15:8] / DATA[15:8] -- Port A I/O Pins . . . . . . . . . . . . . . . 73 1.2.3.6 PB[7:0] / ADDR[7:0] / DATA[7:0] -- Port B I/O Pins . . . . . . . . . . . . . . . . . 74 1.2.3.7 PE7 / NOACC -- Port E I/O Pin 7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 1.2.3.8 PE6 / IPIPE1/ MODB -- Port E I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . . . . . 74 1.2.3.9 PE5 / IPIPE0 / MODA -- Port E I/O Pin 5 . . . . . . . . . . . . . . . . . . . . . . . . . . 74 1.2.3.10 PE4 / ECLK-- Port E I/O Pin 4 / E-Clock Output . . . . . . . . . . . . . . . . . . . . 74 1.2.3.11 PE3 / TAGLO / LSTRB -- Port E I/O Pin 3 / Low-Byte Strobe (LSTRB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 1.2.3.12 PE2 / R/W -- Port E I/O Pin 2 / Read/Write . . . . . . . . . . . . . . . . . . . . . . . . . 75 1.2.3.13 PE1 / IRQ -- Port E Input Pin 1 / Maskable Interrupt Pin . . . . . . . . . . . . . . 75 1.2.3.14 PE0 / XIRQ -- Port E input Pin 0 / Non-Maskable Interrupt Pin . . . . . . . . . 75 1.2.3.15 PK7 / ECS / ROMCTL -- Port K I/O Pin 7 . . . . . . . . . . . . . . . . . . . . . . . . . 75 1.2.3.16 PK6 / XCS -- Port K I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 1.2.3.17 PK[5:0] / XADDR[19:14] -- Port K I/O Pins [5:0] . . . . . . . . . . . . . . . . . . . 76 1.2.3.18 PAD[7:0] / AN[7:0] -- Port AD Input Pins [7:0] . . . . . . . . . . . . . . . . . . . . . 76 1.2.3.19 PG7 / KWG7 -- Port G I/O Pin 7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 1.2.3.20 PG6 / KWG6 / MII_RXER -- Port G I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . 76 1.2.3.21 PG5 / KWG5 / MII_RXDV -- Port G I/O Pin 5 . . . . . . . . . . . . . . . . . . . . . . 76 1.2.3.22 PG4 / KWG4 / MII_RXCLK -- Port G I/O Pin 4 . . . . . . . . . . . . . . . . . . . . . 77
Page
1.2
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 7
Section Number
Title
Page
1.2.4
1.2.3.23 PG3 / KWG3 / MII_RXD3 -- Port G I/O Pin 3 . . . . . . . . . . . . . . . . . . . . . . 77 1.2.3.24 PG2 / KWG2 / MII_RXD2 -- Port G I/O Pin 2 . . . . . . . . . . . . . . . . . . . . . . 77 1.2.3.25 PG1 / KWG1 / MII_RXD1 -- Port G I/O Pin 1 . . . . . . . . . . . . . . . . . . . . . . 77 1.2.3.26 PG0 / KWG0 / MII_RXD0 -- Port G I/O Pin 0 . . . . . . . . . . . . . . . . . . . . . . 77 1.2.3.27 PH6 / KWH6 / MII_TXER -- Port H I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . 77 1.2.3.28 PH5 / KWH5 / MII_TXEN -- Port H I/O Pin 5 . . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.29 PH4 / KWH4 / MII_TXCLK -- Port H I/O Pin 4 . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.30 PH3 / KWH3 / MII_TXD3 -- Port H I/O Pin 3 . . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.31 PH2 / KWH2 / MII_TXD2 -- Port H I/O Pin 2 . . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.32 PH1 / KWH1 / MII_TXD1 -- Port H I/O Pin 1 . . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.33 PH0 / KWH0 / MII_TXD0 -- Port H I/O Pin 0 . . . . . . . . . . . . . . . . . . . . . . 78 1.2.3.34 PJ7 / KWJ7 / IIC_SCL -- Port J I/O Pin 7 . . . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.35 PJ6 / KWJ6 / IIC_SDA -- Port J I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.36 PJ3 / KWJ3 / MII_COL -- Port J I/O Pin 3 . . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.37 PJ2 / KWJ2 / MII_CRS /-- Port J I/O Pin 2 . . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.38 PJ1 / KWJ1 / MII_MDIO -- Port J I/O Pin 1 . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.39 PJ0 / KWJ0 / MII_MDC -- Port J I/O Pin 0 . . . . . . . . . . . . . . . . . . . . . . . . . 79 1.2.3.40 PL6 -- Port L I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.41 PL5 -- Port L I/O Pin 5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.42 PL4 / COLLED -- Port L I/O Pin 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.43 PL3 / DUPLED -- Port L I/O Pin 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.44 PL2 / SPDLED -- Port L I/O Pin 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.45 PL1 / LNKLED -- Port L I/O Pin 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 1.2.3.46 PL0 / ACTLED -- Port L I/O Pin 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.47 PS7 / SPI_SS -- Port S I/O Pin 7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.48 PS6 / SPI_SCK -- Port S I/O Pin 6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.49 PS5 / SPI_MOSI -- Port S I/O Pin 5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.50 PS4 / SPI_MISO -- Port S I/O Pin 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.51 PS3 / SCI1_TXD -- Port S I/O Pin 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 1.2.3.52 PS2 / SCI1_RXD -- Port S I/O Pin 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.53 PS1 / SCI0_TXD -- Port S I/O Pin 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.54 PS0 / SCI0_RXD -- Port S I/O Pin 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.55 PT[7:4] / IOC1[7:4] -- Port T I/O Pins [7:4] . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.56 PHY_TXP -- EPHY Twisted Pair Output + . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.57 PHY_TXN -- EPHY Twisted Pair Output - . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.58 PHY_RXP -- EPHY Twisted Pair Input + . . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.59 PHY_RXN -- EPHY Twisted Pair Input - . . . . . . . . . . . . . . . . . . . . . . . . . . 82 1.2.3.60 PHY_RBIAS -- EPHY Bias Control Resistor . . . . . . . . . . . . . . . . . . . . . . . 83 Power Supply Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 1.2.4.1 VDDX1, VDDX2, VSSX1, VSSX2 -- Power & Ground Pins for I/O & Internal Voltage Regulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 1.2.4.2 VDDR/VREGEN -- Power Pin for Internal Voltage Regulator . . . . . . . . . . . . 83
MC9S12NE64 Data Sheet, Rev 1.0
8
Freescale Semiconductor
Section Number
1.2.4.3 1.2.4.4 1.2.4.5 1.2.4.6
Title
Page
1.3 1.4
1.5
1.6
1.7
VDD1, VDD2, VSS1, VSS2 -- Core Power Pins . . . . . . . . . . . . . . . . . . . . . . . 83 VDDA, VSSA -- Power Supply Pins for ATD and VREG_PHY . . . . . . . . . . 83 PHY_VDDA, PHY_VSSA -- Power Supply Pins for EPHY Analog . . . . . 83 PHY_VDDRX, PHY_VSSRX -- Power Supply Pins for EPHY Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 1.2.4.7 PHY_VDDTX, PHY_VSSTX -- Power Supply Pins for EPHY Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 1.2.4.8 VRH, VRL -- ATD Reference Voltage Input Pins . . . . . . . . . . . . . . . . . . . . . 84 1.2.4.9 VDDPLL, VSSPLL -- Power Supply Pins for PLL . . . . . . . . . . . . . . . . . . . . . 84 System Clock Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 1.4.1 Chip Configuration Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 1.4.2 Security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 1.4.2.1 Securing the Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 1.4.2.2 Operation of the Secured Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 1.4.2.3 Unsecuring the Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Low-Power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 1.5.1 Stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 1.5.2 Pseudo Stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 1.5.3 Wait . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 1.5.4 Run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Resets and Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 1.6.1 Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 1.6.2 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 1.6.2.1 Reset Summary Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 1.6.2.2 Effects of Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Block Configuration for MC9S12NE64 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 1.7.1 VDDR/VREGEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 1.7.2 VDD1, VDD2, VSS1, VSS2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 1.7.3 Clock Reset Generator (CRG) Block Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 XCLKS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 1.7.3.1 1.7.4 Ethernet Media Access Controller (EMAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 1.7.4.1 EMAC MII External Pin Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 1.7.4.2 EMAC Internal PHY Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 1.7.4.3 Low-Power Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 1.7.5 Ethernet Physical Transceiver (EPHY) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 1.7.5.1 Low-Power Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 1.7.6 RAM 8K Block Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 9
Section Number
Title Chapter 2 64K Byte Flash (FTS64K) Block Description
Page
2.1
2.2 2.3
2.4
2.5 2.6
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 2.1.1 Glossary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 2.1.2 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 2.1.3 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 2.1.4 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 2.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 2.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 2.3.2.1 Flash Clock Divider Register (FCLKDIV) . . . . . . . . . . . . . . . . . . . . . . . . . 100 2.3.2.2 Flash Security Register (FSEC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 2.3.2.3 RESERVED1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 2.3.2.4 Flash Configuration Register (FCNFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 2.3.2.5 Flash Protection Register (FPROT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 2.3.2.6 Flash Status Register (FSTAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 2.3.2.7 Flash Command Register (FCMD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 2.3.2.8 RESERVED2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 2.3.2.9 Flash Address Register (FADDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 2.3.2.10 Flash Data Register (FDATA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 2.3.2.11 RESERVED3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 2.3.2.12 RESERVED4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 2.3.2.13 RESERVED5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 2.3.2.14 RESERVED6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 2.4.1 Program and Erase Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 2.4.1.1 Writing the FCLKDIV Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 2.4.1.2 Program and Erase Sequences in Normal Mode . . . . . . . . . . . . . . . . . . . . . 112 2.4.1.3 Valid Flash Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 2.4.1.4 Illegal Flash Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 2.4.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 2.4.3 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 2.4.4 Background Debug Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 2.4.5 Flash Security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 2.4.5.1 Unsecuring the Flash via the Backdoor Access Sequence . . . . . . . . . . . . . . 116 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
MC9S12NE64 Data Sheet, Rev 1.0 10 Freescale Semiconductor
Section Number
Title
Page
Chapter 3 Port Integration Module (PIM) Block Description
3.1 3.2 3.3 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 3.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 3.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 3.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 3.3.2.1 Port T Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 3.3.2.2 Port S Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 3.3.2.3 Port G Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 3.3.2.4 Port H Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137 3.3.2.5 Port J Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 3.3.2.6 Port L Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 3.4.1 I/O Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 3.4.2 Input Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 3.4.3 Reduced Drive Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 3.4.4 Pull Device Enable Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 3.4.5 Polarity Select Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 3.4.6 Port T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 3.4.7 Port S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 3.4.8 Port G . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 3.4.8.1 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 3.4.9 Port H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 3.4.10 Port J . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 3.4.11 Port L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.12 Port A, B, E and BKGD Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.13 External Pin Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.14 Low Power Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.14.1 Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.14.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.4.14.3 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 Initialization/Application Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 3.5.1 Reset Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 3.6.1 Interrupt Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 3.6.2 Recovery from Stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
3.4
3.5 3.6
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 11
Section Number
Title
Page
Chapter 4 Clocks and Reset Generator (CRG) Block Description
4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 4.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 4.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 4.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 4.2.1 VDDPLL, VSSPLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 4.2.2 XFC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 RESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 4.2.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 4.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 4.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 4.3.2.1 CRG Synthesizer Register (SYNR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 4.3.2.2 CRG Reference Divider Register (REFDV) . . . . . . . . . . . . . . . . . . . . . . . . 160 4.3.2.3 Reserved Register (CTFLG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 4.3.2.4 CRG Flags Register (CRGFLG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 4.3.2.5 CRG Interrupt Enable Register (CRGINT) . . . . . . . . . . . . . . . . . . . . . . . . . 162 4.3.2.6 CRG Clock Select Register (CLKSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 4.3.2.7 CRG PLL Control Register (PLLCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165 4.3.2.8 CRG RTI Control Register (RTICTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 4.3.2.9 CRG COP Control Register (COPCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 4.3.2.10 Reserved Register (FORBYP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169 4.3.2.11 Reserved Register (CTCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 4.3.2.12 CRG COP Timer Arm/Reset Register (ARMCOP) . . . . . . . . . . . . . . . . . . . 170 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 4.4.1 Functional Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 4.4.1.1 Phase Locked Loop (PLL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 4.4.1.2 System Clocks Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 4.4.1.3 Clock Monitor (CM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175 4.4.1.4 Clock Quality Checker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175 4.4.1.5 Computer Operating Properly Watchdog (COP) . . . . . . . . . . . . . . . . . . . . . 177 4.4.1.6 Real-Time Interrupt (RTI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 4.4.2 Operation Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.2.1 Normal Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.2.2 Self-Clock Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.3 Low Power Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.3.1 Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.3.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 4.4.3.3 CPU Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188 4.5.1 Clock Monitor Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
MC9S12NE64 Data Sheet, Rev 1.0 12 Freescale Semiconductor
4.2
4.3
4.4
4.5
Section Number
4.5.2 4.5.3 Interrupts 4.6.1 4.6.2 4.6.3
Title
Page
4.6
Computer Operating Properly Watchdog (COP) Reset . . . . . . . . . . . . . . . . . . . . . . . . 190 Power-On Reset, Low Voltage Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 Real-Time Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 PLL Lock Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 Self-Clock Mode Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192
Chapter 5 Oscillator (OSC) Block Description
5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 5.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 5.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 5.2.1 VDDPLL, VSSPLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 5.2.2 EXTAL, XTAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 5.2.3 XCLKS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 5.4.1 Amplitude Limitation Control (ALC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 5.4.2 Clock Monitor (CM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
5.2
5.3 5.4
5.5
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 6.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 6.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 6.1.3 Block Diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 6.2.1 IOC7 - Input capture and Output compare channel 7 . . . . . . . . . . . . . . . . . . . . . . . . . 198 6.2.2 IOC6 - Input Capture and Output Compare Channel 6 . . . . . . . . . . . . . . . . . . . . . . . 199 6.2.3 IOC5 - Input Capture and Output Compare Channel 5 . . . . . . . . . . . . . . . . . . . . . . . 199 6.2.4 IOC4 - Input Capture and Output Compare Channel 4 . . . . . . . . . . . . . . . . . . . . . . . 199 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 6.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 6.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 6.3.2.1 Timer Input Capture/Output Compare Select (TIOS) . . . . . . . . . . . . . . . . . 200 6.3.2.2 Timer Compare Force Register (CFORC) . . . . . . . . . . . . . . . . . . . . . . . . . . 201 6.3.2.3 Output Compare 7 Mask Register (OC7M) . . . . . . . . . . . . . . . . . . . . . . . . . 201 6.3.2.4 Output Compare 7 Data Register (OC7D) . . . . . . . . . . . . . . . . . . . . . . . . . . 202 6.3.2.5 Timer Count Register (TCNT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 6.3.2.6 Timer System Control Register 1 (TSCR1) . . . . . . . . . . . . . . . . . . . . . . . . . 203
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 13
6.2
6.3
Section Number
Title
Page
6.4
6.5 6.6
6.3.2.7 Timer Toggle On Overflow Register 1 (TTOV) . . . . . . . . . . . . . . . . . . . . . . 204 6.3.2.8 Timer Control Register 1 (TCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 6.3.2.9 Timer Control Register 3 (TCTL3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 6.3.2.10 Timer Interrupt Enable Register (TIE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 6.3.2.11 Timer System Control Register 2 (TSCR2) . . . . . . . . . . . . . . . . . . . . . . . . . 206 6.3.2.12 Main Timer Interrupt Flag 1 (TFLG1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 6.3.2.13 Main Timer Interrupt Flag 2 (TFLG2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 6.3.2.14 Timer Input Capture/Output Compare Registers (TC4 - TC7) . . . . . . . . . . 208 6.3.2.15 16-Bit Pulse Accumulator Control Register (PACTL) . . . . . . . . . . . . . . . . 209 6.3.2.16 Pulse Accumulator Flag Register (PAFLG) . . . . . . . . . . . . . . . . . . . . . . . . . 210 6.3.2.17 Pulse Accumulators Count Registers (PACNT) . . . . . . . . . . . . . . . . . . . . . . 211 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211 6.4.1 Prescaler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212 6.4.2 Input Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213 6.4.3 Output Compare . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213 6.4.4 Pulse Accumulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213 6.4.4.1 Event Counter Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214 6.4.4.2 Gated Time Accumulation Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 6.6.1 Channel [7:4] Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 6.6.2 Pulse Accumulator Input Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216 6.6.3 Pulse Accumulator Overflow Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216 6.6.4 Timer Overflow Interrupt (TOF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 7.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 7.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 7.1.2.1 Conversion Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 7.1.2.2 MCU Operating Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 7.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 7.2.1 ANx (x = 7, 6, 5, 4, 3, 2, 1, 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 7.2.2 ETRIG3, ETRIG2, ETRIG1, ETRIG0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 7.2.3 VRH, VRL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 7.2.4 VDDA, VSSA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 7.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 7.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
MC9S12NE64 Data Sheet, Rev 1.0 14 Freescale Semiconductor
7.2
7.3
Section Number
Title
Page
7.4
7.5 7.6
7.3.2.1 ATD Control Register 0 (ATDCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221 7.3.2.2 ATD Control Register 1 (ATDCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 7.3.2.3 ATD Control Register 2 (ATDCTL2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223 7.3.2.4 ATD Control Register 3 (ATDCTL3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225 7.3.2.5 ATD Control Register 4 (ATDCTL4) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226 7.3.2.6 ATD Control Register 5 (ATDCTL5) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228 7.3.2.7 ATD Status Register 0 (ATDSTAT0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 7.3.2.8 Reserved Register (ATDTEST0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232 7.3.2.9 ATD Test Register 1 (ATDTEST1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232 7.3.2.10 ATD Status Register 1 (ATDSTAT1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233 7.3.2.11 ATD Input Enable Register (ATDDIEN) . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 7.3.2.12 Port Data Register (PORTAD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 7.3.2.13 ATD Conversion Result Registers (ATDDRx) . . . . . . . . . . . . . . . . . . . . . . 235 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 7.4.1 Analog Sub-Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 7.4.1.1 Sample and Hold Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 7.4.1.2 Analog Input Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 7.4.1.3 Sample Buffer Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237 7.4.1.4 Analog-to-Digital (A/D) Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237 7.4.2 Digital Sub-Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237 7.4.2.1 External Trigger Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237 7.4.2.2 General Purpose Digital Input Port Operation . . . . . . . . . . . . . . . . . . . . . . . 238 7.4.2.3 Low Power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Chapter 8 Serial Communications Interface (SCI) Block Description
8.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241 8.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241 8.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241 8.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242 8.2.1 SCI_TXD -- SCI Transmit Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242 8.2.2 SCI_RXD -- SCI Receive Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 8.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 8.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244 8.3.2.1 SCI Baud Rate Registers (SCIBDH, SCIBDL) . . . . . . . . . . . . . . . . . . . . . . 245 8.3.2.2 SCI Control Register 1 (SCICR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246 8.3.2.3 SCI Control Register 2 (SCICR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248 8.3.2.4 SCI Status Register 1 (SCISR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 15
8.2
8.3
Section Number
Title
Page
8.4
8.5 8.6
8.3.2.5 SCI Status Register 2 (SCISR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 8.3.2.6 SCI Data Registers (SCIDRH, SCIDRL) . . . . . . . . . . . . . . . . . . . . . . . . . . 252 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253 8.4.1 Infrared Interface Submodule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254 8.4.1.1 Infrared Transmit Encoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 8.4.1.2 Infrared Receive Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 8.4.2 Data Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 8.4.3 Baud Rate Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256 8.4.4 Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 8.4.4.1 Transmitter Character Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258 8.4.4.2 Character Transmission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258 8.4.4.3 Break Characters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259 8.4.4.4 Idle Characters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260 8.4.5 Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 8.4.5.1 Receiver Character Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 8.4.5.2 Character Reception . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 8.4.5.3 Data Sampling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262 8.4.5.4 Framing Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266 8.4.5.5 Baud Rate Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266 8.4.5.6 Receiver Wakeup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268 8.4.6 Single-Wire Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269 8.4.7 Loop Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270 8.4.8 Reset Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270 8.4.9 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.9.1 Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.9.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.9.3 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.10 Interrupt Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.10.1 System Level Interrupt Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.10.2 Interrupt Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 8.4.10.3 Recovery from Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 8.6.1 TDRE Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 8.6.2 TC Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 8.6.3 RDRF Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273 8.6.4 OR Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273 8.6.5 IDLE Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
MC9S12NE64 Data Sheet, Rev 1.0 16 Freescale Semiconductor
Section Number
Title
Page
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275 9.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276 9.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276 9.2.1 SPI_MOSI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276 9.2.2 SPI_MISO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 9.2.3 SPI_SS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 9.2.4 SPI_SCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 9.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 9.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278 9.3.2.1 SPI Control Register 1 (SPICR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278 9.3.2.2 SPI Control Register 2 (SPICR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279 9.3.2.3 SPI Baud Rate Register (SPIBR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281 9.3.2.4 SPI Status Register (SPISR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283 9.3.2.5 SPI Data Register (SPIDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284 9.4.1 Master Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285 9.4.2 Slave Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286 9.4.3 Transmission Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287 9.4.4 Clock Phase and Polarity Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288 9.4.5 CPHA = 0 Transfer Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288 9.4.6 CPHA = 1 Transfer Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290 9.4.7 SPI Baud Rate Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291 9.4.8 Special Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292 SS Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292 9.4.8.1 9.4.8.2 Bidirectional Mode (MOMI or SISO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292 9.4.9 Error Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293 9.4.9.1 Mode Fault Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293 9.4.10 Low Power Mode Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294 9.4.10.1 SPI in Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294 9.4.10.2 SPI in Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294 9.4.10.3 SPI in Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295 9.4.10.4 Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295 9.4.10.5 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
9.2
9.3
9.4
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 17
Section Number
Title
Page
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
10.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297 10.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297 10.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297 10.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 10.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 10.2.1 IIC_SCL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 10.2.2 IIC_SDA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 10.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 10.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 10.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 10.3.2.1 IIC Address Register (IBAD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 10.3.2.2 IIC Frequency Divider Register (IBFD) . . . . . . . . . . . . . . . . . . . . . . . . . . . 300 10.3.2.3 IIC Control Register (IBCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 10.3.2.4 IIC Status Register (IBSR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 10.3.2.5 IIC Data I/O Register (IBDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310 10.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310 10.4.1 I-Bus Protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310 10.4.1.1 START Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311 10.4.1.2 Slave Address Transmission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312 10.4.1.3 Data Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312 10.4.1.4 STOP Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312 10.4.1.5 Repeated START Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313 10.4.1.6 Arbitration Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313 10.4.1.7 Clock Synchronization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313 10.4.1.8 Handshaking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.4.1.9 Clock Stretching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.4.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.4.2.1 Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.4.2.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.4.2.3 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.5 Initialization/Application Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.5.1 IIC Programming Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.5.1.1 Initialization Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 10.5.1.2 Generation of START . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315 10.5.1.3 Post-Transfer Software Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315 10.5.1.4 Generation of STOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316 10.5.1.5 Generation of Repeated START . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316 10.5.1.6 Slave Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317 10.5.1.7 Arbitration Lost . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317 10.6 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319 10.7 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319
MC9S12NE64 Data Sheet, Rev 1.0 18 Freescale Semiconductor
Section Number
Title
Page
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321 11.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321 11.1.2 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322 11.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322 11.2.1 MII_TXCLK -- MII Transmit Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 11.2.2 MII_TXD[3:0] -- MII Transmit Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 11.2.3 MII_TXEN -- MII Transmit Enable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 11.2.4 MII_TXER -- MII Transmit Coding Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 11.2.5 MII_RXCLK -- MII Receive Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323 11.2.6 MII_RXD[3:0] -- MII Receive Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 11.2.7 MII_RXDV -- MII Receive Data Valid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 11.2.8 MII_RXER -- MII Receive Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 11.2.9 MII_CRS -- MII Carrier Sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 11.2.10 MII_COL -- MII Collision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 11.2.11 MII_MDC -- MII Management Data Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325 11.2.12 MII_MDIO -- MII Management Data Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . 325 11.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325 11.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325 11.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326 11.3.2.1 Network Control (NETCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327 11.3.2.2 Receive Control and Status (RXCTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328 11.3.2.3 Transmit Control and Status (TXCTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 11.3.2.4 Ethertype Control (ETCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 11.3.2.5 Programmable Ethertype (ETYPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332 11.3.2.6 PAUSE Timer Value and Counter (PTIME) . . . . . . . . . . . . . . . . . . . . . . . . 333 11.3.2.7 Interrupt Event (IEVENT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333 11.3.2.8 Interrupt Mask (IMASK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335 11.3.2.9 Software Reset (SWRST) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 11.3.2.10 MII Management PHY Address (MPADR) . . . . . . . . . . . . . . . . . . . . . . . . . 337 11.3.2.11 MII Management Register Address (MRADR) . . . . . . . . . . . . . . . . . . . . . . 337 11.3.2.12 MII Management Write Data (MWDATA) . . . . . . . . . . . . . . . . . . . . . . . . . 337 11.3.2.13 MII Management Read Data (MRDATA) . . . . . . . . . . . . . . . . . . . . . . . . . . 338 11.3.2.14 MII Management Command and Status (MCMST) . . . . . . . . . . . . . . . . . . 338 11.3.2.15 Ethernet Buffer Configuration (BUFCFG) . . . . . . . . . . . . . . . . . . . . . . . . . 340 11.3.2.16 Receive A End-of-Frame Pointer (RXAEFP) . . . . . . . . . . . . . . . . . . . . . . . 341 11.3.2.17 Receive B End-of-Frame Pointer (RXBEFP) . . . . . . . . . . . . . . . . . . . . . . . 341 11.3.2.18 Transmit End-of-Frame Pointer (TXEFP) . . . . . . . . . . . . . . . . . . . . . . . . . . 341 11.3.2.19 Multicast Hash Table (MCHASH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342 11.3.2.20 MAC Unicast Address (MACAD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342 11.3.2.21 Miscellaneous (EMISC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 19
Section Number
Title
Page
11.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344 11.4.1 Ethernet Frame . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344 11.4.1.1 Preamble and SFD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345 11.4.1.2 Address Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345 11.4.1.3 Type/Length Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 11.4.1.4 Data Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 11.4.1.5 Frame Check Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346 11.4.1.6 End-of-Frame Delimiter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347 11.4.1.7 Interframe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347 11.4.2 Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347 11.4.2.1 Address Recognition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349 11.4.2.2 Type/Length Recognition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350 11.4.3 Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352 11.4.3.1 Interframe Gap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352 11.4.3.2 Deferring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353 11.4.3.3 Collision Detection and Backoff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353 11.4.4 Ethernet Buffers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 11.4.4.1 Receive Ethernet Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 11.4.4.2 Transmit Ethernet Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 11.4.5 Full-Duplex Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 11.4.5.1 MAC Flow Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355 11.4.5.2 Hardware Generated PAUSE Control Frame Transmission . . . . . . . . . . . . 356 11.4.5.3 PAUSE Control Frame Reception . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356 11.4.6 MII Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356 11.4.6.1 Frame Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357 11.4.6.2 Read Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358 11.4.6.3 Write Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358 11.4.7 Loopback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358 11.4.8 Software Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359 11.4.9 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359 11.4.10 Debug and Stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361 12.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361 12.1.2 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362 12.2 External Signal Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 12.2.1 PHY_TXP -- EPHY Twisted Pair Output + . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 12.2.2 PHY_TXN -- EPHY Twisted Pair Output - . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 12.2.3 PHY_RXP -- EPHY Twisted Pair Input + . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 12.2.4 PHY_RXN -- EPHY Twisted Pair Input - . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
MC9S12NE64 Data Sheet, Rev 1.0 20 Freescale Semiconductor
Section Number
Title
Page
12.2.5 PHY_RBIAS -- EPHY Bias Control Resistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364 12.2.6 PHY_VDDRX, PHY_VSSRX -- Power Supply Pins for EPHY Receiver . . . . . . . . 364 12.2.7 PHY_VDDTX, PHY_VSSTX -- Power Supply Pins for EPHY Transmitter . . . . . . 364 12.2.8 PHY_VDDA, PHY_VSSA -- Power Supply Pins for EPHY Analog . . . . . . . . . . . . 364 12.2.9 COLLED -- Collision LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364 12.2.10 DUPLED -- Duplex LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364 12.2.11 SPDLED -- Speed LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364 12.2.12 LNKLED -- Link LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364 12.2.13 ACTLEC -- Activity LED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 12.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 12.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 12.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 12.3.2.1 Ethernet Physical Transceiver Control Register 0 (EPHYCTL0) . . . . . . . . 365 12.3.2.2 Ethernet Physical Transceiver Control Register 1 (EPHYCTL1) . . . . . . . . 366 12.3.2.3 Ethernet Physical Transceiver Status Register (EPHYSR) . . . . . . . . . . . . . 367 12.3.3 MII Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 12.3.3.1 EPHY Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 12.3.3.2 Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370 12.3.3.3 EPHY Identifier Register 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372 12.3.3.4 EPHY Identifier Register 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372 12.3.3.5 Auto-Negotiate (A/N) Advertisement Register . . . . . . . . . . . . . . . . . . . . . . 373 12.3.3.6 Auto Negotiation Link Partner Ability (Base Page) . . . . . . . . . . . . . . . . . . 374 12.3.3.7 Auto Negotiation Link Partner Ability (Next Page) . . . . . . . . . . . . . . . . . . 375 12.3.3.8 Auto-Negotiation Expansion Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376 12.3.3.9 Auto Negotiation Next Page Transmit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377 12.3.4 PHY-Specific Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 12.3.4.1 Interrupt Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 12.3.4.2 Proprietary Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379 12.3.4.3 Proprietary Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380 12.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381 12.4.1 Power Down/Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382 12.4.2 Auto-Negotiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384 12.4.3 10BASE-T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385 12.4.4 100BASE-TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387 12.4.4.1 Sublayers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388 12.4.5 Low Power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390 12.4.5.1 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390 12.4.5.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391 12.4.5.3 MII Power Down . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 21
Section Number
Title Chapter 13 Output Voltage Regulator (VREG_PHY) Block Description
Page
13.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393 13.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393 13.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393 13.1.3 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393 13.2 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394 13.2.1 VDDR,VDDRAUX1,2,3, VSSR -- Regulator Power Inputs . . . . . . . . . . . . . . . . . . . . . . . 395 13.2.2 VDDA, VSSA -- Regulator Reference Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395 13.2.3 VDD, VSS -- Regulator Output1 (Core Logic) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395 13.2.4 VDDPLL, VSSPLL -- Regulator Output2 (PLL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.2.5 VDDAUX1,2,3, VSSAUX1,2,3 -- Regulator Output3,4,5 . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.2.6 VREGEN -- Optional Regulator Enable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.4.1 REG -- Regulator Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396 13.4.1.1 Full Performance Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.4.1.2 Reduced Power Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.4.2 POR -- Power-On Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.4.3 LVR -- Low Voltage Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.4.4 CTRL -- Regulator Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.5 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.5.1 Power-On Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 13.5.2 Low Voltage Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398 13.6 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398
Chapter 14 Interrupt (INT) Block Description
14.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399 14.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.2.1 Normal Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.2.2 Special Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.2.3 Emulation Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.3 Low-Power Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400 14.1.3.1 Run Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401 14.1.3.2 Wait Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401 14.1.3.3 Stop Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401 14.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401 14.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401
MC9S12NE64 Data Sheet, Rev 1.0 22 Freescale Semiconductor
Section Number
14.3.1 14.3.2
Title
Page
Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 14.3.2.1 Interrupt Test Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 14.3.2.2 Interrupt Test Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403 14.3.2.3 Highest Priority I Interrupt (Optional) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404 14.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404 14.4.1 Interrupt Exception Requests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404 14.4.1.1 Interrupt Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405 14.4.1.2 Highest Priority I-Bit Maskable Interrupt . . . . . . . . . . . . . . . . . . . . . . . . . . 405 14.4.1.3 Interrupt Priority Decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405 14.4.2 Reset Exception Requests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405 14.4.3 Exception Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407 15.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408 15.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409 15.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 410 15.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411 15.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 412 15.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414 15.3.2.1 Port A Data Register (PORTA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414 15.3.2.2 Port B Data Register (PORTB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415 15.3.2.3 Data Direction Register A (DDRA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415 15.3.2.4 Data Direction Register B (DDRB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416 15.3.2.5 Reserved Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417 15.3.2.6 Port E Data Register (PORTE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417 15.3.2.7 Data Direction Register E (DDRE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418 15.3.2.8 Port E Assignment Register (PEAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419 15.3.2.9 Mode Register (MODE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422 15.3.2.10 Pull-Up Control Register (PUCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424 15.3.2.11 Reduced Drive Register (RDRIV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 426 15.3.2.12 External Bus Interface Control Register (EBICTL) . . . . . . . . . . . . . . . . . . 427 15.3.2.13 Reserved Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428 15.3.2.14 IRQ Control Register (IRQCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428 15.3.2.15 Port K Data Register (PORTK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429 15.3.2.16 Port K Data Direction Register (DDRK) . . . . . . . . . . . . . . . . . . . . . . . . . . . 430
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 23
Section Number
Title
Page
15.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431 15.4.1 External Bus Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431 15.4.1.1 Detecting Access Type from External Signals . . . . . . . . . . . . . . . . . . . . . . . 431 15.4.1.2 Stretched Bus Cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431 15.4.2 External Data Bus Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432 15.4.2.1 Internal Visibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432 15.4.2.2 Secure Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432 15.4.3 Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432 15.4.3.1 Low-Power Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433 15.4.4 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433
Chapter 16 Module Mapping Control (MMC) Block Description
16.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435 16.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435 16.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 16.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 16.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 16.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 16.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437 16.3.2.1 Initialization of Internal RAM Position Register (INITRM) . . . . . . . . . . . . 437 16.3.2.2 Initialization of Internal Registers Position Register (INITRG) . . . . . . . . . 438 16.3.2.3 Initialization of Internal EEPROM Position Register (INITEE) . . . . . . . . . 438 16.3.2.4 Miscellaneous System Control Register (MISC) . . . . . . . . . . . . . . . . . . . . . 439 16.3.2.5 Reserved Test Register 0 (MTST0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440 16.3.2.6 Reserved Test Register 1 (MTST1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 16.3.2.7 Memory Size Register 0 (MEMSIZ0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 16.3.2.8 Memory Size Register 1 (MEMSIZ1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442 16.3.2.9 Program Page Index Register (PPAGE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444 16.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445 16.4.1 Bus Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445 16.4.2 Address Decoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445 16.4.2.1 Select Priority and Mode Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . 446 16.4.2.2 Emulation Chip Select Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446 16.4.2.3 External Chip Select Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447 16.4.3 Memory Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447 16.4.3.1 CALL and Return from Call Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . 448 16.4.3.2 Extended Address (XAB19:14) and ECS Signal Functionality . . . . . . . . . 449
MC9S12NE64 Data Sheet, Rev 1.0 24 Freescale Semiconductor
Section Number
Title
Page
Chapter 17 Background Debug Module (BDM) Block Description
17.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 17.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 17.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454 17.1.2.1 Regular Run Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454 17.1.2.2 Secure Mode Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454 17.1.2.3 Low-Power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454 17.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 17.2.1 Background Interface Pin (BKGD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 17.2.2 High Byte Instruction Tagging Pin (TAGHI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 17.2.3 Low Byte Instruction Tagging Pin (TAGLO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 17.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456 17.3.1 BDM Status Register (BDMSTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457 17.3.2 BDM CCR Holding Register (BDMCCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459 17.3.3 BDM Internal Register Position Register (BDMINR) . . . . . . . . . . . . . . . . . . . . . . . . 460 17.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 17.4.1 Security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461 17.4.2 Enabling and Activating BDM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461 17.4.3 BDM Hardware Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462 17.4.4 Standard BDM Firmware Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463 17.4.5 BDM Command Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464 17.4.6 BDM Serial Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 17.4.7 Serial Interface Hardware Handshake Protocol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469 17.4.8 Hardware Handshake Abort Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471 17.4.9 SYNC -- Request Timed Reference Pulse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474 17.4.10 Instruction Tracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474 17.4.11 Instruction Tagging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475 17.4.12 Serial Communication Time-Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
Chapter 18 Debug Module (DBG) Block Description
18.1 Introduction to the Debug (DBG) Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477 18.1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479 18.1.2 Modes of Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481 18.2 External Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482 18.3 Memory Map and Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482 18.3.1 Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482 18.3.2 Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483 18.3.2.1 Debug Control Register 1 (DBGC1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483 18.3.2.2 Debug Status and Control Register (DBGSC) . . . . . . . . . . . . . . . . . . . . . . . 485 18.3.2.3 Debug Trace Buffer Register (DBGTB) . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 25
Section Number
Title
Page
18.3.2.4 Debug Count Register (DBGCNT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487 18.3.2.5 Debug Comparator C Extended Register (DBGCCX) . . . . . . . . . . . . . . . . 488 18.3.2.6 Debug Comparator C Register (DBGCC) . . . . . . . . . . . . . . . . . . . . . . . . . . 489 18.3.2.7 Debug Control Register 2 (DBGC2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490 18.3.2.8 Debug Control Register 3 (DBGC3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491 18.3.2.9 Debug Comparator A Extended Register (DBGCAX) . . . . . . . . . . . . . . . . 494 18.3.2.10 Debug Comparator A Register (DBGCA) . . . . . . . . . . . . . . . . . . . . . . . . . . 495 18.3.2.11 Debug Comparator B Extended Register (DBGCBX) . . . . . . . . . . . . . . . . 495 18.3.2.12 Debug Comparator B Register (DBGCB) . . . . . . . . . . . . . . . . . . . . . . . . . . 495 18.4 Functional Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 18.4.1 DBG Operating in BKP Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 18.4.1.1 Dual Address Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496 18.4.1.2 Full Breakpoint Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497 18.4.1.3 Breakpoint Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497 18.4.1.4 Using Comparator C in BKP Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498 18.4.2 DBG Operating in DBG Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498 18.4.2.1 Comparators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498 18.4.2.2 Trace Buffer Control (TBC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499 18.4.2.3 Begin- and End-Trigger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499 18.4.2.4 Arming the DBG Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500 18.4.2.5 Trigger Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500 18.4.2.6 Capture Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 502 18.4.2.7 Storage Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504 18.4.2.8 Storing Data in Memory Storage Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . 504 18.4.2.9 Reading Data from Trace Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505 18.4.3 Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505 18.4.3.1 Breakpoint Based on Comparator A and B . . . . . . . . . . . . . . . . . . . . . . . . . 505 18.4.3.2 Breakpoint Based on Comparator C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506 18.4.4 Resets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506 18.4.5 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
Appendix A Electrical Characteristics
A.1 Parameter Classification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507 A.2 Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507 A.3 Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508 A.3.1 3.3 V I/O Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508 A.3.2 Analog Reference, Special Function Analog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508 A.3.3 Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509 A.3.4 TEST. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509 A.4 Current Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509 A.5 Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
MC9S12NE64 Data Sheet, Rev 1.0 26 Freescale Semiconductor
Section Number
A.6 A.7 A.8 A.9 A.10
Title
Page
A.11
A.12
A.13
A.14
A.15
ESD Protection and Latch-Up Immunity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510 Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511 Power Dissipation and Thermal Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512 I/O Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514 Supply Currents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516 A.10.1 Measurement Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516 A.10.2 Additional Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516 ATD Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518 A.11.1 ATD Operating Characteristics -- 3.3 V Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518 A.11.2 Factors Influencing Accuracy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518 A.11.2.1 Source Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518 A.11.2.2 Source Capacitance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519 A.11.2.3 Current Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519 A.11.3 ATD Accuracy -- 3.3 V Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519 Reset, Oscillator, and PLL Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 A.12.1 Startup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 A.12.1.1 POR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 A.12.1.2 LVR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 A.12.1.3 SRAM Data Retention . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 A.12.1.4 External Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 A.12.1.5 Stop Recovery. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 A.12.1.6 Pseudo Stop and Wait Recovery. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 A.12.2 Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 A.12.3 Phase-Locked Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524 A.12.3.1 XFC Component Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524 EMAC Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529 A.13.1 MII Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529 A.13.1.1 MII Receive Signal Timing (MII_RXD[3:0], MII_RXDV, MII_RXER, MII_RXCLK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529 A.13.1.2 MII Transmit Signal Timing (TXD[3:0], TXEN, TXER, TXCLK). . . . . . . 530 A.13.1.3 MII Asynchronous Inputs Signal Timing (CRS, COL) . . . . . . . . . . . . . . . . 530 A.13.1.4 MII Management Timing (MDIO, MDC) . . . . . . . . . . . . . . . . . . . . . . . . . . 531 EPHY Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533 A.14.1 10BASE-T Jab and Unjab Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533 A.14.2 Auto Negotiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534 A.14.2.1 MII - 100BASE-TX Transmit Timing Parameters . . . . . . . . . . . . . . . . . . . 534 A.14.2.2 MII -- 10BASE-T Receive Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535 FLASH NVM Electrical Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538 A.15.1 NVM timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538 A.15.1.1 Single Word Programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538 A.15.1.2 Burst Programming. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538 A.15.1.3 Sector Erase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
27
Section Number
Title
Page
A.15.1.4 Mass Erase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539 A.15.2 NVM Reliability. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539 A.16 SPI Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541 A.16.1 Master Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541 A.16.2 Slave Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542 A.17 Voltage Regulator Operating Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545 A.17.1 MCU Power-Up and LVR Graphical Explanation. . . . . . . . . . . . . . . . . . . . . . . . . . . . 545 A.17.2 Output Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546 A.17.2.1 Resistive Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546 A.17.2.2 Capacitive Loads. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546 A.18 External Bus Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Appendix B Schematic and PCB Layout Design Recommendations
B.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549 B.1.1 Schematic Designing with the MC9S12NE64 and Adding an Ethernet Interface. . . . 549 B.1.2 Power Supply Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551 B.1.3 Clocking Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551 B.1.4 EPHY Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551 B.1.5 EPHY LED Indicator Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551 B.2 PCB Design Recommendation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552 B.2.1 General PCB Design Recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552 B.2.2 Ethernet PCB Design Recommendations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552 B.2.2.1 High-Speed LAN Magnetics Isolation Module Requirements. . . . . . . . . . . 553 B.2.2.2 80-Pin Package Exposed Flag. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
Appendix C Package Information
C.1 112-Pin LQFP Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555 C.2 80-Pin TQFP-EP Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
MC9S12NE64 Data Sheet, Rev 1.0 28 Freescale Semiconductor
List of Figures
Figure Number
Figure 1-1. Figure 1-2. Figure 1-3. Figure 1-4. Figure 1-5. Figure 2-1. Figure 2-2. Figure 2-3. Figure 2-4. Figure 2-5. Figure 2-6. Figure 2-7. Figure 2-8. Figure 2-9. Figure 2-10. Figure 2-11. Figure 2-12. Figure 2-13. Figure 2-14. Figure 2-15. Figure 2-16. Figure 2-17. Figure 2-18. Figure 2-19. Figure 2-20. Figure 2-21. Figure 3-1. Figure 3-2. Figure 3-3. Figure 3-4.
Title
Page
MC9S12NE64 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 MC9S12NE64 User Configurable Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 Pin Assignments in 112-Pin LQFP for MC9S12NE64 . . . . . . . . . . . . . . . . . . . . . . . . . . 67 Pin Assignments in 80-Pin TQFP-EP for MC9S12NE64 . . . . . . . . . . . . . . . . . . . . . . . . 68 Clock Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 Module Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 Flash Memory Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Flash Clock Divider Register (FCLKDIV). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 Flash Security Register (FSEC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 RESERVED1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Flash Configuration Register (FCNFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 Flash Protection Register (FPROT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 Flash Status Register (FSTAT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 Flash Command Register (FCMD). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 RESERVED2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 Flash Address High Register (FADDRHI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 Flash Address Low Register (FADDRLO). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 Flash Data High Register (FDATAHI). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 Flash Data Low Register (FDATALO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 RESERVED3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 RESERVED4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 RESERVED5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 RESERVED6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 PRDIV8 and FDIV Bits Determination Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 Example Program Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 Flash Interrupt Implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 PIM_9NE64 Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 Port T I/O Register (PTT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Port T Input Register (PTIT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Port T Data Direction Register (DDRT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 29
Figure Number
Figure 3-5. Figure 3-6. Figure 3-7. Figure 3-8. Figure 3-9. Figure 3-10. Figure 3-11. Figure 3-12. Figure 3-13. Figure 3-14. Figure 3-15. Figure 3-16. Figure 3-17. Figure 3-18. Figure 3-19. Figure 3-20. Figure 3-21. Figure 3-22. Figure 3-23. Figure 3-24. Figure 3-25. Figure 3-26. Figure 3-27. Figure 3-28. Figure 3-29. Figure 3-30. Figure 3-31. Figure 3-32. Figure 3-33. Figure 3-34. Figure 3-35. Figure 3-36. Figure 3-37.
Title
Page
Port T Reduced Drive Register (RDRT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 Port T Pull Device Enable Register (PERT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 Port T Polarity Select Register (PPST). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 Port S I/O Register (PTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Port S Input Register (PTIS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Port S Data Direction Register (DDRS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Port S Reduced Drive Register (RDRS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Port S Pull Device Enable Register (PERS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 Port S Polarity Select Register (PPSS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 Port S Wired-Or Mode Register (WOMS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Port G I/O Register (PTG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Port G Input Register (PTIG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Port G Data Direction Register (DDRG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Port G Reduced Drive Register (RDRG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 Port G Pull Device Enable Register (PERG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 Port G Polarity Select Register (PPSG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 Port G Interrupt Enable Register (PIEG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Port G Interrupt Flag Register (PIFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Port H I/O Register (PTH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137 Port H Input Register (PTIH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137 Port H Data Direction Register (DDRH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138 Port H Reduced Drive Register (RDRH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138 Port H Pull Device Enable Register (PERH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 Port H Polarity Select Register (PPSH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 Port H Interrupt Enable Register (PIEH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 Port H Interrupt Flag Register (PIFH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 Port J I/O Register (PTJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 Port J Input Register (PTIJ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 Port J Data Direction Register (DDRJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142 Port J Reduced Drive Register (RDRJ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142 Port J Pull Device Enable Register (PERJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 Port J Polarity Select Register (PPSJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 Port J Interrupt Enable Register (PIEJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
MC9S12NE64 Data Sheet, Rev 1.0
30
Freescale Semiconductor
Figure Number
Figure 3-38. Figure 3-39. Figure 3-40. Figure 3-41. Figure 3-42. Figure 3-43. Figure 3-44. Figure 3-45. Figure 3-46. Figure 3-47. Figure 3-48. Figure 4-1. Figure 4-2. Figure 4-3. Figure 4-4. Figure 4-5. Figure 4-6. Figure 4-7. Figure 4-8. Figure 4-9. Figure 4-10. Figure 4-11. Figure 4-12. Figure 4-13. Figure 4-14. Figure 4-15. Figure 4-16. Figure 4-17. Figure 4-18. Figure 4-19. Figure 4-20. Figure 4-21. Figure 4-22.
Title
Page
Port J Interrupt Flag Register (PIFJ). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 Port L I/O Register (PTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Port L Input Register (PTIL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Port L Data Direction Register (DDRL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146 Port L Reduced Drive Register (RDRL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146 Port L Pull Device Enable Register (PERL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147 Port L Polarity Select Register (PPSL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147 Port L Wired-Or Mode Register (WOML). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 Illustration of I/O Pin Functionality . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 Interrupt Glitch Filter on Port G, H, and J (PPS=0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 Pulse Illustration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Block Diagram of CRG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 PLL Loop Filter Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 CRG Synthesizer Register (SYNR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 CRG Reference Divider Register (REFDV). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 Reserved Register (CTFLG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 CRG Flags Register (CRGFLG). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 CRG Interrupt Enable Register (CRGINT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 CRG Clock Select Register (CLKSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 CRG PLL Control Register (PLLCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165 CRG RTI Control Register (RTICTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 CRG COP Control Register (COPCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 Reserved Register (FORBYP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 Reserved Register (CTCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 ARMCOP Register Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 PLL Functional Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172 System Clocks Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 Core Clock and Bus Clock Relationship . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175 Check Window Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176 Sequence for Clock Quality Check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176 Clock Chain for COP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177 Clock Chain for RTI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 Wait Mode Entry/Exit Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
31
Figure Number
Figure 4-23. Figure 4-24. Figure 4-25. Figure 4-26. Figure 5-1. Figure 5-2. Figure 5-3. Figure 6-1. Figure 6-2. Figure 6-3. Figure 6-4. Figure 6-5. Figure 6-6. Figure 6-7. Figure 6-8. Figure 6-9. Figure 6-10. Figure 6-11. Figure 6-12. Figure 6-13. Figure 6-14. Figure 6-15. Figure 6-16. Figure 6-17. Figure 6-18. Figure 6-19. Figure 6-20. Figure 7-1. Figure 7-2. Figure 7-3. Figure 7-4. Figure 7-5. Figure 7-6.
Title
Page
Stop Mode Entry/Exit Sequence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 RESET Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 RESET Pin Tied to VDD (by a Pull-Up Resistor) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 RESET Pin Held Low Externally . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 Colpitts Oscillator Connections (XCLKS=0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 Pierce Oscillator Connections (XCLKS=1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 External Clock Connections (XCLKS=1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 Timer Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 Timer Input Capture/Output Compare Select (TIOS) . . . . . . . . . . . . . . . . . . . . . . . . . . 200 Timer Compare Force Register (CFORC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Output Compare 7 Mask Register (OC7M) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Output Compare 7 Data Register (OC7D) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Timer Count Register (TCNT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Timer System Control Register 1 (TSCR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Timer Toggle On Overflow Register 1 (TTOV). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 Timer Control Register 1 (TCTL1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 Timer Control Register 3 (TCTL3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 Timer Interrupt Enable Register (TIE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 Timer System Control Register 2 (TSCR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Main Timer Interrupt Flag 1 (TFLG1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 Main Timer Interrupt Flag 2 (TFLG2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 Timer Input Capture/Output Compare Registers (TC4 - TC7) . . . . . . . . . . . . . . . . . . . 208 16-Bit Pulse Accumulator Control Register (PACTL). . . . . . . . . . . . . . . . . . . . . . . . . . 209 Pulse Accumulator Flag Register (PAFLG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 Pulse Accumulators Count Registers (PACNT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211 Detailed Timer Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212 Pulse Accumulator System Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214 ATD_10B8C Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 ATD Control Register 0 (ATDCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221 ATD Control Register 1 (ATDCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 ATD Control Register 2 (ATDCTL2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223 ATD Control Register 3 (ATDCTL3) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225 ATD Control Register 4 (ATDCTL4) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
MC9S12NE64 Data Sheet, Rev 1.0
32
Freescale Semiconductor
Figure Number
Figure 7-7. Figure 7-8. Figure 7-9. Figure 7-10. Figure 7-11. Figure 7-12. Figure 7-13. Figure 7-14. Figure 7-15. Figure 7-16. Figure 7-17. Figure 8-1. Figure 8-2. Figure 8-3. Figure 8-4. Figure 8-5. Figure 8-6. Figure 8-7. Figure 8-8. Figure 8-9. Figure 8-10. Figure 8-11. Figure 8-12. Figure 8-13. Figure 8-14. Figure 8-15. Figure 8-16. Figure 8-17. Figure 8-18. Figure 8-19. Figure 8-20. Figure 8-21. Figure 8-22.
Title
Page
ATD Control Register 5 (ATDCTL5) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228 ATD Status Register 0 (ATDSTAT0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 Reserved Register (ATDTEST0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232 ATD Test Register 1 (ATDTEST1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232 ATD Status Register 1 (ATDSTAT1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233 ATD Input Enable Register (ATDDIEN) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 Port Data Register (PORTAD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 Left Justified, ATD Conversion Result Register, High Byte (ATDDRxH) . . . . . . . . . . 235 Left Justified, ATD Conversion Result Register, Low Byte (ATDDRxL) . . . . . . . . . . 235 Right Justified, ATD Conversion Result Register, High Byte (ATDDRxH) . . . . . . . . . 236 Right Justified, ATD Conversion Result Register, Low Byte (ATDDRxL) . . . . . . . . . 236 SCI Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242 SCI Baud Rate Registers (SCIBDH/L). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245 SCI Control Register 1 (SCICR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246 SCI Control Register 2 (SCICR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248 SCI Status Register 1 (SCISR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 SCI Status Register 2 (SCISR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251 SCI Data Registers (SCIDRH/L) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252 Detailed SCI Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254 SCI Data Formats (Standard SCI and Infrared) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255 Transmitter Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 SCI Receiver Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261 Receiver Data Sampling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262 Start Bit Search Example 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264 Start Bit Search Example 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264 Start Bit Search Example 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265 Start Bit Search Example 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265 Start Bit Search Example 5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266 Start Bit Search Example 6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266 Slow Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267 Fast Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268 Single-Wire Operation (LOOPS = 1, RSRC = 1). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270 Loop Operation (LOOPS = 1, RSRC = 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
33
Figure Number
Figure 9-1. Figure 9-2. Figure 9-3. Figure 9-4. Figure 9-5. Figure 9-6. Figure 9-7. Figure 9-8. Figure 9-9. Figure 9-10. Figure 10-1. Figure 10-2. Figure 10-3. Figure 10-4. Figure 10-5. Figure 10-6. Figure 10-7. Figure 10-8. Figure 10-9. Figure 10-10. Figure 10-11. Figure 11-1. Figure 11-2. Figure 11-3. Figure 11-4. Figure 11-5. Figure 11-6. Figure 11-7. Figure 11-8. Figure 11-9. Figure 11-10. Figure 11-11. Figure 11-12.
Title
Page
SPI Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275 SPI Control Register 1 (SPICR1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278 SPI Control Register 2 (SPICR2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279 SPI Baud Rate Register (SPIBR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281 SPI Status Register (SPISR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283 SPI Data Register (SPIDR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284 Master/Slave Transfer Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288 SPI Clock Format 0 (CPHA = 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289 SPI Clock Format 1 (CPHA = 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291 Baud Rate Divisor Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292 IIC Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298 IIC Bus Address Register (IBAD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 IIC Bus Frequency Divider Register (IBFD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300 SCL Divider and SDA Hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301 IIC-Bus Control Register (IBCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 IIC Bus Status Register (IBSR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 IIC Bus Data I/O Register (IBDR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310 IIC-Bus Transmission Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311 Start and Stop Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311 IIC-Bus Clock Synchronization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313 Flow-Chart of Typical IIC Interrupt Routine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318 EMAC Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322 Network Control (NETCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327 Receive Control and Status (RXCTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328 Transmit Control and Status (TXCTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330 Ethertype Control (ETCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 Programmable Ethertype (ETYPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332 PAUSE Timer Value and Counter (PTIME) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333 Interrupt Event (IEVENT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333 Interrupt Mask (IMASK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335 Software Reset (SWRST) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 MII Management PHY Address (MPADR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337 MII Management Register Address (MRADR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337
MC9S12NE64 Data Sheet, Rev 1.0
34
Freescale Semiconductor
Figure Number
Figure 11-13. Figure 11-14. Figure 11-15. Figure 11-16. Figure 11-17. Figure 11-18. Figure 11-19. Figure 11-20. Figure 11-21. Figure 11-22. Figure 11-23. Figure 11-24. Figure 11-25. Figure 11-26. Figure 11-27. Figure 12-1. Figure 12-2. Figure 12-3. Figure 12-4. Figure 12-5. Figure 12-6. Figure 12-7. Figure 12-8. Figure 12-9. Figure 12-10. Figure 12-11. Figure 12-12. Figure 12-13. Figure 12-14. Figure 12-15. Figure 12-16. Figure 12-17. Figure 12-18.
Title
Page
MII Management Write Data (MWDATA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337 MII Management Read Data (MRDATA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 MII Management Command and Status (MCMST) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 Ethernet Buffer Configuration (BUFCFG). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340 Receive A End-of-Frame Pointer (RXAEFP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341 Receive B End-of-Frame Pointer (RXBEFP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341 Transmit End-of-Frame Pointer (TXEFP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341 Multicast Hash Table (MCHASH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342 MAC Address (MACAD). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343 Miscellaneous (EMISC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343 MII Nibble/Byte-to-Byte/Nibble Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345 Receive Address Recognition Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350 Receive Type/Length Recognition Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351 Typical MDC/MDIO Read Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358 Typical MDC/MDIO Write Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358 Ethernet Physical Transceiver (EPHY) Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . 362 PHY Sub Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 Ethernet Physical Transceiver Control Register 0 (EPHYCTL0) . . . . . . . . . . . . . . . . . 365 Ethernet Physical Transceiver Control Register 1 (EPHYCTL1) . . . . . . . . . . . . . . . . . 366 Ethernet Physical Transceiver Status Register (EPHYSR) . . . . . . . . . . . . . . . . . . . . . . 367 Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370 EPHY Identifier Register 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372 EPHY Identifier Register 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372 Auto Negotiate Advertisement Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373 Auto Negotiation Link Partner Ability Register (Base Page). . . . . . . . . . . . . . . . . . . . . 374 Auto Negotiation Link Partner Ability Register (Next Page). . . . . . . . . . . . . . . . . . . . . 375 Auto-Negotiation Expansion Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376 Auto Negotiation Next Page Transmit Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377 Interrupt Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378 Proprietary Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379 Proprietary Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380 EPHY Start-Up / Initialization Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
35
Figure Number
Figure 12-19. Figure 12-20. Figure 12-21. Figure 12-22. Figure 13-1. Figure 14-1. Figure 14-2. Figure 14-3. Figure 14-4. Figure 15-1. Figure 15-2. Figure 15-3. Figure 15-4. Figure 15-5. Figure 15-6. Figure 15-7. Figure 15-8. Figure 15-9. Figure 15-10. Figure 15-11. Figure 15-12. Figure 15-13. Figure 15-14. Figure 15-15. Figure 15-16. Figure 15-17. Figure 16-1. Figure 16-2. Figure 16-3. Figure 16-4. Figure 16-5. Figure 16-6. Figure 16-7.
Title
Page
EPHY Start-Up Delay. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383 Auto-Negotiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385 10BASE-T Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386 100BASE-TX Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388 VREG_PHY Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394 Interrupt Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399 Interrupt Test Control Register (ITCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Interrupt TEST Registers (ITEST) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403 Highest Priority I Interrupt Register (HPRIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404 MEBI Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408 Port A Data Register (PORTA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414 Port B Data Register (PORTB). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415 Data Direction Register A (DDRA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415 Data Direction Register B (DDRB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416 Reserved Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417 Port E Data Register (PORTE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417 Data Direction Register E (DDRE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418 Port E Assignment Register (PEAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419 Mode Register (MODE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422 Pullup Control Register (PUCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424 Reduced Drive Register (RDRIV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 426 External Bus Interface Control Register (EBICTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427 Reserved Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428 IRQ Control Register (IRQCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428 Port K Data Register (PORTK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429 Port K Data Direction Register (DDRK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430 Module Mapping Control Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435 Initialization of Internal RAM Position Register (INITRM) . . . . . . . . . . . . . . . . . . . . . 437 Initialization of Internal Registers Position Register (INITRG). . . . . . . . . . . . . . . . . . . 438 Initialization of Internal EEPROM Position Register (INITEE) . . . . . . . . . . . . . . . . . . 438 Miscellaneous System Control Register (MISC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 439 Reserved Test Register 0 (MTST0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440 Reserved Test Register 1 (MTST1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
MC9S12NE64 Data Sheet, Rev 1.0
36
Freescale Semiconductor
Figure Number
Figure 16-8. Figure 16-9. Figure 16-10. Figure 16-11. Figure 17-1. Figure 17-2. Figure 17-3. Figure 17-4. Figure 17-5. Figure 17-6. Figure 17-7. Figure 17-8. Figure 17-9. Figure 17-10. Figure 17-11. Figure 17-12. Figure 18-1. Figure 18-2. Figure 18-3. Figure 18-4. Figure 18-5. Figure 18-6. Figure 18-7. Figure 18-9. Figure 18-8. Figure 18-10. Figure 18-11. Figure 18-12. Figure 18-13. Figure 18-14. Figure 18-15. Figure 18-16. Figure A-1.
Title
Page
Memory Size Register 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 Memory Size Register 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442 Program Page Index Register (PPAGE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444 Memory Paging Example: 1M Byte On-Chip FLASH/ROM, 64K Allocation . . . . . . . 451 BDM Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453 BDM Status Register (BDMSTS). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457 BDM CCR Holding Register (BDMCCR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459 BDM Internal Register Position (BDMINR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460 BDM Command Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 BDM Host-to-Target Serial Bit Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467 BDM Target-to-Host Serial Bit Timing (Logic 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468 BDM Target-to-Host Serial Bit Timing (Logic 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468 Target Acknowledge Pulse (ACK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469 Handshake Protocol at Command Level. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470 ACK Abort Procedure at the Command Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 ACK Pulse and SYNC Request Conflict . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472 DBG Block Diagram in BKP Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478 DBG Block Diagram in DBG Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479 Debug Control Register (DBGC1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 Debug Status and Control Register (DBGSC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485 Debug Trace Buffer Register (DBGTB). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486 Debug Count Register (DBCNT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487 Debug Comparator C Extended Register (DBGCCX) . . . . . . . . . . . . . . . . . . . . . . . . . . 488 Debug Comparator C Register (DBGCC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 Comparator C Extended Comparison in BKP/DBG Mode . . . . . . . . . . . . . . . . . . . . . . 489 Debug Control Register 2 (DBGC2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490 Debug Control Register 3 (DBGC3). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491 Debug Comparator A Extended Register (DBGCAX). . . . . . . . . . . . . . . . . . . . . . . . . . 494 Comparators A and B Extended Comparison in BKP Mode . . . . . . . . . . . . . . . . . . . . . 494 Debug Comparator A Register (DBGCA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495 Debug Comparator B Extended Register (DBGCBX) . . . . . . . . . . . . . . . . . . . . . . . . . . 495 Debug Comparator B Register (DBGCB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495 ATD Accuracy Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
37
Figure Number
Figure 18-17. Figure 18-18. Figure 18-19. Figure A-2. Figure A-3. Figure A-4. Figure A-5. Figure A-6. Figure A-7. Figure A-8. Figure A-9. Figure A-10. Figure A-11. Figure A-12. Figure A-13. Figure A-14. Figure A-15. Figure 18-20. Figure B-1. Figure B-2. Figure C-1. Figure C-2.
Title
Page
Basic PLL Functional Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525 Jitter Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526 MII Receive Signal Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529 MII Transmit Signal Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530 MII Asynchronous Inputs Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531 MII Serial Management Channel Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532 10BASE-T SQE (Heartbeat) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533 10BASE-T SQE (Heartbeat) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534 Auto-Negotiation and Fast Link Pulse Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534 Fast Link Pulse Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535 Auto-Negotiation Pulse Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535 Fast Link Pulse Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536 SPI Master Timing (CPHA=0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541 SPI Master Timing (CPHA=1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542 SPI Slave Timing (CPHA = 0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543 SPI Slave Timing (CPHA = 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543 Voltage Regulator -- MCU Power-Up And Voltage Drops (Not Scaled). . . . . . . . . . . 546 General External Bus Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547 MC9S12NE64 Minimum System Circuit Implementation in the 80-Pin Package . . . . 550 Ethernet Interface Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551 112-Pin LQFP Mechanical Drawing (Case No. 987-01) . . . . . . . . . . . . . . . . . . . . . . . . 555 80-Pin TQFP-EP Mechanical Drawing (Case No. 1355-01) . . . . . . . . . . . . . . . . . . . . . 556
MC9S12NE64 Data Sheet, Rev 1.0 38 Freescale Semiconductor
List of Tables
Table Number
Table 1-1. Table 1-2. Table 1-3. Table 1-4. Table 1-5. Table 1-6. Table 1-7. Table 1-8. Table 2-1. Table 2-2. Table 2-3. Table 2-4. Table 2-5. Table 2-6. Table 2-7. Table 2-8. Table 2-9. Table 3-1. Table 3-2. Table 3-3. Table 3-4. Table 3-5. Table 3-6. Table 4-1. Table 4-2. Table 4-3. Table 4-4. Table 4-5. Table 4-6. Table 4-7.
Title
Page
Device Register Map Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 Assigned Part ID Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 Memory Size Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 Signal Properties (Sheet 1 of 4). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 MC9S12NE64 Power and Ground Connection Summary . . . . . . . . . . . . . . . . . . . . . . . . . 84 Mode Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 Interrupt Vector Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Reset Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Flash Protection/Options Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Flash Module Memory Map Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 Flash Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 Flash Security States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Flash Higher Address Range Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 Flash Lower Address Range Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 Flash Normal Mode Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 Valid Flash Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 Flash Interrupt Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 Pin Functions and Priorities (Sheet 1 of 4) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 PIM Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 Pin Configuration Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 Pulse Detection Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Port Reset State Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 Port Integration Module Interrupt Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 CRG Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 RTI Frequency Divide Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 COP Watchdog Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169 MCU Configuration During Wait Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 Outcome of Clock Loss in Wait Mode (Sheet 1 of 3). . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 Outcome of Clock Loss in Pseudo-Stop Mode (Sheet 1 of 3) . . . . . . . . . . . . . . . . . . . . . 186 Reset Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 39
Table Number
Table 4-8. Table 4-9. Table 5-1. Table 6-1. Table 6-2. Table 6-3. Table 6-4. Table 6-5. Table 6-6. Table 6-7. Table 7-1. Table 7-2. Table 7-3. Table 7-4. Table 7-5. Table 7-6. Table 7-7. Table 7-8. Table 7-9. Table 7-10. Table 7-11. Table 7-12. Table 7-13. Table 7-14. Table 8-1. Table 8-2. Table 8-3. Table 8-4. Table 8-6. Table 8-5. Table 8-7. Table 8-8. Table 8-9.
Title
Page
Reset Vector Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 CRG Interrupt Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 Clock Selection Based on XCLKS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 TIM Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 Compare Result Output Action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 Edge Detector Circuit Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 Timer Clock Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Pin Action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Timer Clock Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 TIM_16B4C Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 ATD Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 Multi-Channel Wrap Around Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222 External Trigger Channel Select Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223 External Trigger Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224 Conversion Sequence Length Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225 ATD Behavior in Freeze Mode (breakpoint) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226 Sample Time Select. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227 Clock Prescaler Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228 Available Result Data Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229 Left Justified, Signed and Unsigned ATD Output Codes. . . . . . . . . . . . . . . . . . . . . . . . . 229 Analog Input Channel Select Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230 Special Channel Select Coding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233 External Trigger Control Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237 ATD_10B8C Interrupt Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239 SCI Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243 SCI Register Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244 IRSCI Transmit Pulse Width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245 Loop Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247 Example of 9-Bit Data Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256 Example of 8-bit Data Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256 Baud Rates (Example: Module Clock = 10.2 MHz) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257 Start Bit Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262 Data Bit Recovery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
MC9S12NE64 Data Sheet, Rev 1.0
40
Freescale Semiconductor
Table Number
Title
Page
Table 8-10. Stop Bit Recovery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263 Table 8-11. SCI Interrupt Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271 Table 8-12. SCI Interrupt Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272 Table 9-1. SPI Module Memory Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 Table 9-2. SS Input / Output Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279 Table 9-3. Bidirectional Pin Configurations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280 Table 9-4. Example SPI Baud Rate Selection (25 MHz Bus Clock) (Sheet 1 of 3). . . . . . . . . . . . . . 281 Table 9-5. Normal Mode and Bidirectional Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293 Table 10-1. IIC Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 Table 10-2. I-Bus Tap and Prescale Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300 Table 10-3. Multiplier Factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300 Table 10-4. IIC Divider and Hold Values (Sheet 1 of 5) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302 Table 10-5. Interrupt Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319 Table 11-1. Permissible Encoding of MII_TXD, MII_TXEN, and MII_TXER . . . . . . . . . . . . . . . . . 323 Table 11-2. Permissible Encoding of MII_RXD, MII_RXDV, and MII_RXER. . . . . . . . . . . . . . . . . 324 Table 11-3. EMAC Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325 Table 11-4. Transmit Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331 Table 11-5. MII Management Frame Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339 Table 11-6. Programming Examples for MDCSEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339 Table 11-7. Buffer Mapping Configuration on System RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340 Table 11-8. Miscellaneous Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344 Table 11-9. Ethernet Frame Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344 Table 11-10. Backoff Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354 Table 11-11. Ethernet PAUSE Frame Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356 Table 11-12. Interrupt Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359 Table 12-1. EPHY Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 Table 12-2. MII Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 Table 12-3. Operational Configuration While Auto-Negotiation is Disabled . . . . . . . . . . . . . . . . . . . 384 Table 13-1. VREG_PHY -- Signal Properties. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395 Table 13-2. VREG_PHY -- Reset Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Table 14-1. INT Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Table 14-2. Interrupt Register Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402 Table 14-3. Exception Vector Map and Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 41
Table Number
Title
Page
Table 15-1. External System Pins Associated With MEBI (Sheet 1 of 2) . . . . . . . . . . . . . . . . . . . . . . 410 Table 15-2. MEBI Module Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 412 Table 15-3. MEBI Register Map Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 413 Table 15-4. MODC, MODB, and MODA Write Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423 Table 15-5. Mode Select and State of Mode Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423 Table 15-6. Access Type vs. Bus Control Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431 Table 16-1. Module Mapping Control Register Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 Table 16-2. External Stretch Bit Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440 Table 16-3. Allocated EEPROM Memory Space. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441 Table 16-4. Allocated RAM Memory Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442 Table 16-5. Allocated FLASH/ROM Physical Memory Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443 Table 16-6. Allocated Off-Chip Memory Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443 Table 16-7. Program Page Index Register Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445 Table 16-8. Select Signal Priority. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446 Table 16-9. Allocated Off-Chip Memory Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447 Table 16-10. External/Internal Page Window Access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447 Table 16-11. 0K Byte Physical FLASH/ROM Allocated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449 Table 16-12. 16K Byte Physical FLASH/ROM Allocated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450 Table 16-13. 48K Byte Physical FLASH/ROM Allocated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450 Table 16-14. 64K Byte Physical FLASH/ROM Allocated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450 Table 17-1. BDM Register Map Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456 Table 17-2. BDM Clock Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458 Table 17-3. Hardware Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462 Table 17-4. Firmware Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464 Table 17-5. Tag Pin Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475 Table 18-1. External System Pins Associated with DBG and MEBI. . . . . . . . . . . . . . . . . . . . . . . . . . 482 Table 18-2. DBG Register Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482 Table 18-3. CAPMOD Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485 Table 18-4. Trigger Mode Encoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486 Table 18-5. CNT Decoding Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487 Table 18-6. PAGSEL Decoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 Table 18-7. Comparator C Compares. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489 Table 18-8. Breakpoint Mask Bits for First Address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
MC9S12NE64 Data Sheet, Rev 1.0 42 Freescale Semiconductor
Table Number
Title
Page
Table 18-9. Breakpoint Mask Bits for Second Address (Dual Mode) . . . . . . . . . . . . . . . . . . . . . . . . . 492 Table 18-10. Breakpoint Mask Bits for Data Breakpoints (Full Mode). . . . . . . . . . . . . . . . . . . . . . . . . 493 Table 18-11. Comparator A or B Compares. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494 Table 18-12. Read or Write Comparison Logic Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499 Table 18-13. Resolution of Mode Conflicts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 502 Table 18-14. Breakpoint Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505 Table A-1. Absolute Maximum Ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510 Table A-2. ESD and Latch-Up Test Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511 Table A-3. ESD and Latch-Up Protection Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511 Table A-4. Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512 Table A-5. Thermal Package Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514 Table A-6. Preliminary 3.3 V I/O Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515 Table A-7. Supply Current Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516 Table A-8. EPHY Twisted Pair Transmit Pin Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517 Table 18-15. 3.3V ATD Operating Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518 Table A-9. ATD Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519 Table A-10. 3.3-V A/D Conversion Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520 Table A-11. Startup Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522 Table A-12. Oscillator Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524 Table A-13. PLL Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528 Table A-14. MII Receive Signal Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529 Table A-15. MII Transmit Signal Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530 Table A-16. MII Transmit Signal Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530 Table A-17. MII Management Signal Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531 Table A-18. 10BASE-T SQE (Heartbeat) Timing Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533 Table A-19. 10BASE-T Jab and Unjab Timing Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533 Table A-20. MII - Auto Negotiation and Fast Link Pulse Timing Parameters. . . . . . . . . . . . . . . . . . . 534 Table A-21. Auto-Negotiation and Fast Link Pulse Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535 Table A-22. 10BASE-T Transceiver Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536 Table A-23. 100BASE-TX Transceiver Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536 Table A-24. EPHY Operating Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537 Table A-25. NVM Timing Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539 Table A-26. NVM Reliability Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 540
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 43
Table Number
Table A-27. Table A-28. Table A-29. Table A-30. Table A-31. Table A-32.
Title
Page
Measurement Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541 SPI Master Mode Timing Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542 SPI Slave Mode Timing Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 544 VREG_PHY - Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545 Voltage Regulator -- Capacitive Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546 Expanded Bus Timing Characteristics (3.3 V Range) . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
MC9S12NE64 Data Sheet, Rev 1.0 44 Freescale Semiconductor
Chapter 1 Device Overview
1.1 Introduction
The MC9S12NE64 is a 112-/80-pin cost-effective, low-end connectivity applications MCU family. The MC9S12NE64 is composed of standard on-chip peripherals including a 16-bit central processing unit (HCS12 CPU), 64K bytes of FLASH EEPROM, 8K bytes of RAM, Ethernet media access controller (EMAC) with integrated 10/100 Mbps Ethernet physical transceiver (EPHY), two asynchronous serial communications interface modules (SCI), a serial peripheral interface (SPI), one inter-IC bus (IIC), a 4-channel/16-bit timer module (TIM), an 8-channel/10-bit analog-to-digital converter (ATD), up to 21 pins available as keypad wakeup inputs (KWU), and two additional external asynchronous interrupts. The inclusion of a PLL circuit allows power consumption and performance to be adjusted to suit operational requirements. Furthermore, an on-chip bandgap-based voltage regulator (VREG_PHY) generates the internal digital supply voltage of 2.5 V (VDD) from a 3.15 V to 3.45 V external supply range. The MC9S12NE64 has full 16-bit data paths throughout. The 112-pin package version has a total of 70 I/O port pins and 10 input-only pins available. The 80-pin package version has a total of 38 I/O port pins and 10 input-only pins available.
1.1.1
*
Features
16-bit HCS12 core -- HCS12 CPU - Upward compatible with M68HC11 instruction set - Interrupt stacking and programmer's model identical to M68HC11 - Instruction queue - Enhanced indexed addressing -- Memory map and interface (MMC) -- Interrupt control (INT) -- Background debug mode (BDM) -- Enhanced debug12 module, including breakpoints and change-of-flow trace buffer (DBG) -- Multiplexed expansion bus interface (MEBI) -- available only in 112-pin package version Wakeup interrupt inputs -- Up to 21 port bits available for wakeup interrupt function with digital filtering Memory -- 64K bytes of FLASH EEPROM -- 8K bytes of RAM Analog-to-digital converter (ATD) -- One 8-channel module with 10-bit resolution -- External conversion trigger capability
* *
*
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 45
Chapter 1 Device Overview
*
*
*
* * * *
*
Timer module (TIM) -- 4-channel timer -- Each channel configurable as either input capture or output compare -- Simple PWM mode -- Modulo reset of timer counter -- 16-bit pulse accumulator -- External event counting -- Gated time accumulation Serial interfaces -- Two asynchronous serial communications interface (SCI) -- One synchronous serial peripheral interface (SPI) -- One inter-IC bus (IIC) Ethernet Media access controller (EMAC) -- IEEE 802.3 compliant -- Medium-independent interface (MII) -- Full-duplex and half-duplex modes -- Flow control using pause frames -- MII management function -- Address recognition - Frames with broadcast address are always accepted or always rejected - Exact match for single 48-bit individual (unicast) address - Hash (64-bit hash) check of group (multicast) addresses - Promiscuous mode Ethertype filter Loopback mode Two receive and one transmit Ethernet buffer interfaces Ethernet 10/100 Mbps transceiver (EPHY) -- IEEE 802.3 compliant -- Digital adaptive equalization -- Half-duplex and full-duplex -- Auto-negotiation next page ability -- Baseline wander (BLW) correction -- 125-MHz clock generator and timing recovery -- Integrated wave-shaping circuitry -- Loopback modes CRG (clock and reset generator module) -- Windowed COP watchdog -- Real-time interrupt
MC9S12NE64 Data Sheet, Rev 1.0
46
Freescale Semiconductor
Introduction
*
*
*
*
-- Clock monitor -- Pierce oscillator -- Phase-locked loop clock frequency multiplier -- Limp home mode in absence of external clock -- 25-MHz crystal oscillator reference clock Operating frequency -- 50 MHz equivalent to 25 MHz bus speed for single chip -- 32 MHz equivalent to 16 MHz bus speed in expanded bus modes Internal 2.5-V regulator -- Supports an input voltage range from 3.3 V 5% -- Low-power mode capability -- Includes low-voltage reset (LVR) circuitry 80-pin TQFP-EP or 112-pin LQFP package -- Up to 70 I/O pins with 3.3 V input and drive capability (112-pin package) -- Up to two dedicated 3.3 V input only lines (IRQ, XIRQ) Development support -- Single-wire background debugTM mode (BDM) -- On-chip hardware breakpoints -- Enhanced DBG debug features
1.1.2
*
Modes of Operation
Normal modes -- Normal single-chip mode -- Normal expanded wide mode1 -- Normal expanded narrow mode1 -- Emulation expanded wide mode1 -- Emulation expanded narrow mode1 Special operating modes -- Special single-chip mode with active background debug mode Each of the above modes of operation can be configured for three low-power submodes -- Stop mode -- Pseudo stop mode -- Wait mode Secure operation, preventing the unauthorized read and write of the memory contents2
* *
*
1.MEBI is available only in the 112-pin package and specified at a maximum speed of 16 MHz. If using MEBI from 2.5 MHz to 16 MHz, only 10BASE-T communication is available. 2.No security feature is absolutely secure. However, Freescale Semiconductor's strategy is to make reading or copying the FLASH difficult for unauthorized users.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 47
Chapter 1 Device Overview
1.1.3
Block Diagram
64K Byte FLASH EEPROM 8K Byte RAM
TEST VDDX1,2 VDDR / VREGEN VDD1,2 VSS1,2 BKGD XFC VDDPLL VSSPLL EXTAL XTAL RESET PE0 PE1 PE2 PE3 PE4 PE5 PE6 PE7 PK0 PK1 PK2 PK3 PK4 PK5 PK6 PK7 VRH VRL VDDA VSSA VRH VRL VDDA VSSA PAD0 PAD1 PAD2 PAD3 PAD4 PAD5 PAD6 PAD7 PT4 PT5 PT6 PT7 PS0 PS1 PS2 PS3 PS4 PS5 PS6 PS7 PJ6 PJ7 PJ0 PJ1 PJ2 PJ3 PG0 PG1 PG2 PG3 PG4 PG5 PG6 PG7 PH0 PH1 PH2 PH3 PH4 PH5 PH6 PL0 PL1 PL2 PL3 PL4 PL5 PL6
Voltage Regulator
DDRT DDRS DDRJ DDRG DDRH DDRL
Timer
CPU12 Clock and Reset Generator
Periodic Interrupt COP Watchdog Clock Monitor
XADDR14 XADDR15 XADDR16 XADDR17 XADDR18 XADDR19 XCS ECS/ROMCTL
Expanded Bus Interface
MII_MDC MII_MDIO MII_CRS MII_COL MII_RXD0 MII_RXD1 MII_RXD2 MII_RXD3 MII_RXCLK MII_RXDV MII_RXER
EMAC Multiplexed Address/Data Bus
MII_TXD0 MII_TXD1 MII_TXD2 MII_TXD3 MII_TXCLK MII_TXEN MII_TXER
KWG0 KWG1 KWG2 KWG3 KWG4 KWG5 KWG6 KWG7 KWH0 KWH1 KWH2 KWH3 KWH4 KWH5 KWH6 ACTLED LNKLED SPDLED DUPLED COLLED
DDRE
PTK
DDRA PTA
DATA15 ADDR15 PA7 DATA14 ADDR14 PA6 DATA13 ADDR13 PA5 DATA12 ADDR12 PA4 DATA11 ADDR11 PA3 DATA10 ADDR10 PA2 DATA9 ADDR9 PA1 DATA8 ADDR8 PA0
DDRB PTB
PB7 PB6 PB5 PB4 PB3 PB2 PB1 PB0 MII
ADDR7 ADDR6 ADDR5 ADDR4 ADDR3 ADDR2 ADDR1 ADDR0
Multiplexed Wide Bus
DATA7 DATA6 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0
10BASE-T/ 100BASE-TX Ethernet Physical Transceiver (EPHY)
PHY_RBIAS PHY_VSSA PHY_VDDA PHY_VSSRX PHY_VDDRX PHY_VSSTX PHY_VDDTX
DATA7 DATA6 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0
Multiplexed Narrow Bus
PHY_TXP PHY_TXN PHY_RXP PHY_RXN
Signals shown in Bold are not available on the 80-pin package
Figure 1-1. MC9S12NE64 Block Diagram
MC9S12NE64 Data Sheet, Rev 1.0 48 Freescale Semiconductor
PTL
PTH
PTG
PTJ
XIRQ IRQ R/W LSTRB ECLK MODA MODB NOACC
DDRE
Serial Peripheral Interface IIC
SDA SCL
PTE
KWJ6 KWJ7 KWJ0 KWJ1 KWJ2 KWJ3
PTS
Serial Communication Interface 0 Serial Communication Interface 1
RXD TXD RXD TXD MISO MOSI SCK SS
PTT
Single-wire Background Debug Module
Debugger Breakpoints
IOC4 IOC5 IOC6 IOC7
PAD
Analog-to-Digital Converter
AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7
Introduction
1.1.4
Device Memory Map
Table 1-1 shows the device register map of the MC9S12NE64 after reset. Figure 1-1 illustrates the full device memory map with FLASH and RAM.
Table 1-1. Device Register Map Overview Address
$0000 - $0017 $0018 - $0019 $001A - $001B $001C - $001F $0020 - $002F $0030 - $0033 $0034 - $003F $0040 - $006F $0070 - $007F $0080 - $009F $00A0 - $00C7 $00C8 - $00CF $00D0 - $00D7 $00D8 - $00DF $00E0 - $00E7 $00E8 - $00FF $0100 - $010F $0110 - $011F $0120 - $0123 $0124 - $013F $0140 - $016F $0170 - $023F $0240 - $026F $0270 - $03FF
1
Module1
CORE (Ports A, B, E, Modes, Inits -- MMC, INT, MEBI) Reserved Device ID register (PARTID) CORE (MEMSIZ, IRQ, HPRIO -- INT, MMC) CORE (DBG) CORE (PPAGE, Port K -- MEBI, MMC) Clock and Reset Generator (PLL, RTI, COP) Standard Timer 16-bit 4 channels (TIM) Reserved Analog-to-Digital Converter 10-bit, 8-channel (ATD) Reserved Serial Communications Interface 0 (SCI0) Serial Communications Interface 1 (SCI1) Serial Peripheral Interface (SPI) Inter IC Bus (IIC) Reserved FLASH Control Register Reserved Ethernet Physical Interface (EPHY) Reserved Ethernet Media Access Controller (EMAC) Reserved Port Integration Module (PIM) Reserved
Size (in Bytes)
24 2 2 4 16 4 12 48 16 32 40 8 8 8 8 24 16 16 4 28 48 208 48 400
Information about the HCS12 core can be found in the MMC, INT, MEBI, BDM, and DBG block description chapters in this data sheet, and also in the HCS12 CPU Reference Manual, S12CPUV2/D.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 49
Chapter 1 Device Overview
This figure shows a suggested map, which is not the map out of reset. After reset the map is: $0000 - $03FF: register space $0000 - $1FFF: 7K RAM (1K RAM hidden behind register space)
$0000 $0000 $0400 $03FF 1K REGISTER SPACE MAPPABLE TO ANY 2K BOUNDARY
$2000 $2000 $3FFF $4000 $4000 0.5K, 1K, 2K, OR 4K PROTECTED SECTOR 8K BYTES RAM MAPPABLE TO ANY 8K BOUNDARY
$7FFF $8000 $8000 EXT $BFFF $C000 $C000
16K FIXED FLASH EEPROM
16K PAGE WINDOW FOUR * 16K FLASH EEPROM PAGES
16K FIXED FLASH EEPROM
$FFFF $FF00 $FF00 $FFFF VECTORS NORMAL SINGLE CHIP VECTORS EXPANDED VECTORS SPECIAL SINGLE CHIP $FFFF
2K, 4K, 8K, OR 16K PROTECTED BOOT SECTOR BDM (IF ACTIVE)
Figure 1-2. MC9S12NE64 User Configurable Memory Map
1.1.5
Detailed Register Map
The following tables show the register maps of the MC9S12NE64. For detailed information about register functions, please see the appropriate block description chapter.
MC9S12NE64 Data Sheet, Rev 1.0 50 Freescale Semiconductor
Introduction
$0000 - $000F Multiplexed External Bus Interface Module (MEBI) Map 1 of 3
Address $0000 $0001 $0002 $0003 $0004 $0005 -$0007 $0008 $0009 $000A $000B $000C $000D $000E $000F Name PORTA PORTB DDRA DDRB Reserved Reserved PORTE DDRE PEAR MODE PUCR RDRIV EBICTL Reserved Bit 7 Read: Bit 7 Write: Read: Bit 7 Write: Read: Bit 7 Write: Read: Bit 7 Write: Read: 0 Write: Read: 0 Write: Read: Bit 7 Write: Read: Bit 7 Write: Read: NOACCE Write: Read: MODC Write: Read: PUPKE Write: Read: RDPK Write: Read: 0 Write: Read: 0 Write: Bit 6 6 6 6 6 0 0 6 6 0 MODB 0 0 0 0 Bit 5 5 5 5 5 0 0 5 5 PIPOE MODA 0 0 0 0 Bit 4 4 4 4 4 0 0 4 4 NECLK 0 PUPEE RDPE 0 0 Bit 3 3 3 3 3 0 0 3 3 LSTRE IVIS 0 0 0 0 Bit 2 2 2 2 2 0 0 2 2 RDWE 0 0 0 0 0 Bit 1 1 1 1 1 0 0 1 0 0 EMK PUPBE RDPB 0 0 Bit 0 Bit 0 Bit 0 Bit 0 Bit 0 0 0 Bit 0 0 0 EME PUPAE RDPA ESTR 0
$0010 - $0014 Module Mapping Control Module (MMC) Map 1 of 4
Address $0010 $0011 $0012 $0013 $0014 Name INITRM INITRG INITEE MISC MTST0 Bit 7 Read: RAM15 Write: Read: 0 Write: Read: EE15 Write: Read: 0 Write: Read: Bit 7 Write: Bit 6 RAM14 REG14 EE14 0 6 Bit 5 RAM13 REG13 EE13 0 5 Bit 4 RAM12 REG12 EE12 0 4 Bit 3 RAM11 REG11 EE11 EXSTR1 3 Bit 2 0 0 0 EXSTR0 2 Bit 1 0 0 0 ROMHM 1 Bit 0 RAMHAL 0 EEON ROMON Bit 0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 51
Chapter 1 Device Overview
$0015 - $0016 Interrupt Module (INT) Map 1 of 2
Address $0015 $0016 Name ITCR ITEST Read: Write: Read: Write: Bit 7 0 INTE Bit 6 0 INTC Bit 5 0 INTA Bit 4 WRTINT INT8 Bit 3 ADR3 INT6 Bit 2 ADR2 INT4 Bit 1 ADR1 INT2 Bit 0 ADR0 INT0
$0017 - $0017 Module Mapping Control Module (MMC) Map 2 of 4
Address $0017 Name MTST1 Read: Write: Bit 7 Bit 7 Bit 6 6 Bit 5 5 Bit 4 4 Bit 3 3 Bit 2 2 Bit 1 1 Bit 0 Bit 0
$0018 - $0019 Reserved
Address $0018 - $0019 Name Reserved Read: Write: Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
$001A - $001B Miscellaneous Peripherals
Address $001A $001B Name PARTIDH PARTIDL Read: Write: Read: Write: Bit 7 ID15 ID7 Bit 6 ID14 ID6 Bit 5 ID13 ID5 Bit 4 ID12 ID4 Bit 3 ID11 ID3 Bit 2 ID10 ID2 Bit 1 ID9 ID1 Bit 0 ID8 ID0
$001C - $001D Module Mapping Control Module (MMC) Map 3 of 4
Address $001C $001D Name MEMSIZ0 MEMSIZ1 Bit 7 Bit 6 Bit 5 Bit 4 0 EEP_SW1 EEP_SW0 Read: REG_SW0 Write: 0 0 Read: ROM_SW1 ROM_SW0 Write: Bit 3
0 0
Bit 2
Bit 1
Bit 0
RAM_SW2 RAM_SW1 RAM_SW0 0 PAG_SW1 PAG_SW0
$001E - $001E Multiplexed External Bus Interface Module (MEBI) Map 2 of 3
Address $001E Name IRQCR Read: Write: Bit 7 IRQE Bit 6 IRQEN Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
MC9S12NE64 Data Sheet, Rev 1.0 52 Freescale Semiconductor
Introduction
$001F - $001F Interrupt Module (INT) Map 2 of 2
Address $001F Name HPRIO Read: Write: Bit 7 PSEL7 Bit 6 PSEL6 Bit 5 PSEL5 Bit 4 PSEL4 Bit 3 PSEL3 Bit 2 PSEL2 Bit 1 PSEL1 Bit 0 0
$0020 - $002F Debug Module (DBG) Including BKP Map 1 of 1
Address $0020 $0021 $0022 $0023 $0024 $0025 $0026 $0027 $0028 $0029 $002A $002B $002C $002D $002E $002F
1
Name DBGC1 DBGSC DBGTBH DBGTBL DBGCNT DBGCCX DBGCCH DBGCCL DBGC2 (BKPCT0)1 DBGC3 (BKPCT1)1 DBGCAX (BKP0X)1 DBGCAH (BKP0H)1 DBGCAL (BKP0L)1 DBGCBX (BKP1X)1 DBGCBH (BKP1H)1 DBGCBL (BKP1L)1
Bit 7
Bit 6
Bit 5
Bit 4
Bit 3
Read: DBGEN ARM TRGSEL BEGIN DBGBRK CAPMOD Write: Read: AF BF CF 0 TRG Write: Read: Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 Bit 8 Write: Read: Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Write: Read: TBF 0 CNT Write: Read: PAGSEL EXTCMP Write: Read: Bit 15 14 13 12 11 10 9 Bit 8 Write: Read: Bit 7 6 5 4 3 2 1 Bit 0 Write: Read: BKABEN FULL BDM TAGAB BKCEN TAGC RWCEN RWC Write: Read: BKAMBH BKAMBL BKBMBH BKBMBL RWAEN RWA RWBEN RWB Write: Read: PAGSEL EXTCMP Write: Read: Bit 15 14 13 12 11 10 9 Bit 8 Write: Read: Bit 7 6 5 4 3 2 1 Bit 0 Write: Read: PAGSEL EXTCMP Write: Read: Bit 15 14 13 12 11 10 9 Bit 8 Write: Read: Bit 7 6 5 4 3 2 1 Bit 0 Write:
Bit 2 0
Bit 1
Bit 0
Legacy HCS12 MCUs used this name for this register.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 53
Chapter 1 Device Overview
$0030 - $0031 Module Mapping Control Module (MMC) Map 4 of 4
Address $0030 $0031 Name PPAGE Reserved Read: Write: Read: Write: Bit 7 0 0 Bit 6 0 0 Bit 5 PIX5 0 Bit 4 PIX4 0 Bit 3 PIX3 0 Bit 2 PIX2 0 Bit 1 PIX1 0 Bit 0 PIX0 0
$0032 - $0033 Multiplexed External Bus Interface Module (MEBI) Map 3 of 3
Address $0032 $0033 Name PORTK DDRK Read: Write: Read: Write: Bit 7 Bit 7 Bit 7 Bit 6 6 6 Bit 5 5 5 Bit 4 4 4 Bit 3 3 3 Bit 2 2 2 Bit 1 1 1 Bit 0 Bit 0 Bit 0
$0034 - $003F Clock and Reset Generator (CRG)
Address $0034 $0035 $0036 $0037 $0038 $0039 $003A $003B $003C $003D $003E $003F Name SYNR REFDV CTFLG Reserved CRGFLG CRGINT CLKSEL PLLCTL RTICTL COPCTL FORBYP Reserved CTCTL Reserved ARMCOP Bit 7 Read: 0 Write: Read: 0 Write: Read: 0 Write: Read: RTIF Write: Read: RTIE Write: Read: PLLSEL Write: Read: CME Write: Read: 0 Write: Read: WCOP Write: Read: 0 Write: Read: 0 Write: Read: 0 Write: Bit 7 Bit 6 0 0 0 PORF 0 PSTP PLLON RTR6 RSBCK 0 0 0 6 Bit 5 SYN5 0 0 LVRF 0 SYSWAI AUTO RTR5 0 0 0 0 5 Bit 4 SYN4 0 0 LOCKIF LOCKIE ROAWAI ACQ RTR4 0 0 0 0 4 Bit 3 SYN3 REFDV3 0 LOCK 0 PLLWAI 0 RTR3 0 0 0 0 3 Bit 2 SYN2 REFDV2 0 TRACK 0 CWAI PRE RTR2 CR2 0 0 0 2 Bit 1 SYN1 REFDV1 0 SCMIF SCMIE RTIWAI PCE RTR1 CR1 0 0 0 1 Bit 0 SYN0 REFDV0 0 SCM 0 COPWAI SCME RTR0 CR0 0 0 0 Bit 0
MC9S12NE64 Data Sheet, Rev 1.0 54 Freescale Semiconductor
Introduction
$0040 - $006F 16-Bit, 4-Channel Timer Module (TIM) (Sheet 1 of 2)
Address $0040 $0041 $0042 $0043 $0044 $0045 $0046 $0047 $0048 $0049 $004A $004B $004C $004D $004E $004F $0050 - $0057 $0058 $0059 $005A $005B $005C Name TIOS CFORC OC7M OC7D TCNT (hi) TCNT (lo) TSCR1 TTOV TCTL1 Reserved TCTL3 Reserved TIE TSCR2 TFLG1 TFLG2 Reserved TC4 (hi) TC4 (lo) TC5 (hi) TC5 (lo) TC6 (hi) Bit 7 Read: IOS7 Write: Read: 0 Write: FOC7 Read: OC7M7 Write: Read: OC7D7 Write: Read: Bit 15 Write: Read: Bit 7 Write: Read: TEN Write: Read: TOV7 Write: Read: OM7 Write: Read: 0 Write: Read: EDG7B Write: Read: 0 Write: Read: C7I Write: Read: TOI Write: Read: C7F Write: Read: TOF Write: Read: 0 Write: Read: Bit 15 Write: Read: Bit 7 Write: Read: Bit 15 Write: Read: Bit 7 Write: Read: Bit 15 Write: Bit 6 IOS6 0 FOC6 OC7M6 OC7D6 14 6 TSWAI TOV6 OL7 0 EDG7A 0 C6I 0 C6F 0 0 14 6 14 6 14 Bit 5 IOS5 0 FOC5 OC7M5 OC7D5 13 5 TSFRZ TOV5 OM6 0 EDG6B 0 C5I 0 C5F 0 0 13 5 13 5 13 Bit 4 IOS4 0 FOC4 OC7M4 OC7D4 12 4 TFFCA TOV4 OL6 0 EDG6A 0 C4I 0 C4F 0 0 12 4 12 4 12 Bit 3 0 0 0 0 11 3 0 0 OM5 0 EDG5B 0 0 TCRE 0 0 0 11 3 11 3 11 Bit 2 0 0 0 0 10 2 0 0 OL5 0 EDG5A 0 0 PR2 0 0 0 10 2 10 2 10 Bit 1 0 0 0 0 9 1 0 0 OM4 0 EDG4B 0 0 PR1 0 0 0 9 1 9 1 9 Bit 0 0 0 0 0 Bit 8 Bit 0 0 0 OL4 0 EDG4A 0 0 PR0 0 0 0 Bit 8 Bit 0 Bit 8 Bit 0 Bit 8
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 55
Chapter 1 Device Overview
$0040 - $006F 16-Bit, 4-Channel Timer Module (TIM) (Sheet 2 of 2)
Address $005D $005E $005F $0060 $0061 $0062 $0063 $0064 - $006F Name TC6 (lo) TC7 (hi) TC7 (lo) PACTL PAFLG PACNT (hi) PACNT (lo) Reserved Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 Bit 7 Bit 15 Bit 7 0 0 Bit 15 Bit 7 0 Bit 6 6 14 6 PAEN 0 14 6 0 Bit 5 5 13 5 PAMOD 0 13 5 0 Bit 4 4 12 4 PEDGE 0 12 4 0 Bit 3 3 11 3 CLK1 0 11 3 0 Bit 2 2 10 2 CLK0 0 10 2 0 Bit 1 1 9 1 PAOVI PAOVF 9 1 0 Bit 0 Bit 0 Bit 8 Bit 0 PAI PAIF Bit 8 Bit 0 0
$0080 - $009F 10-Bit, 8-Channel Analog-to-Digital Converter Module (ATD)
Address Name Read: $0080 ATDCTL0 Write: Read: $0081 ATDCTL1 Write: Read: $0082 ATDCTL2 Write: Read: $0083 ATDCTL3 Write: Read: $0084 ATDCTL4 Write: Read: $0085 ATDCTL5 Write: Read: $0086 ATDSTAT0 Write: Read: $0087 Reserved Write: Read: ATDTEST0 $0088 Reserved Write: Read: $0089 ATDTEST1 Write: Read: $008A Unimplemented Write: Read: $008B ATDSTAT1 Write: Bit 7 0 ETRIG SEL ADPU 0 SRES8 DJM SCF 0 U U U CCF7 Bit 6 0 0 AFFC S8C SMP1 DSGN 0 0 U U U CCF6 Bit 5 0 0 AWAI S4C SMP0 SCAN ETORF 0 U 0 U CCF5 Bit 4 0 0 ETRIGLE S2C PRS4 MULT FIFOR 0 U 0 U CCF4 Bit 3 0 0 ETRIGP S1C PRS3 0 0 0 U 0 U CCF3 Bit 2 WRAP2 ETRIG CH2 ETRIGE FIFO PRS2 CC CC2 0 U 0 U CCF2 Bit 1 WRAP1 ETRIG CH1 ASCIE FRZ1 PRS1 CB CC1 0 U 0 U CCF1 Bit 0 WRAP0 ETRIG CH0 ASCIF FRZ0 PRS0 CA CC0 0 U SC U CCF0
MC9S12NE64 Data Sheet, Rev 1.0 56 Freescale Semiconductor
Introduction
$0080 - $009F 10-Bit, 8-Channel Analog-to-Digital Converter Module (ATD)
Address Name Read: $008C Unimplemented Write: Read: $008D ATDDIEN Write: Read: $008E Unimplemented Write: Read: $008F PORTAD Write: Read: $0090 ATDDR0H Write: Read: $0091 ATDDR0L Write: Read: $0092 ATDDR1H Write: Read: $0093 ATDDR1L Write: Read: $0094 ATDDR2H Write: Read: $0095 ATDDR2L Write: Read: $0096 ATDDR3H Write: Read: $0097 ATDDR3L Write: Read: $0098 ATDDR4H Write: Read: $0099 ATDDR4L Write: Read: $009A ATDDR5H Write: Read: $009B ATDDR5L Write: Read: $009C ATDDR6H Write: Read: $009D ATDDR6L Write: Read: $009E ATDDR7H Write: Read: $009F ATDDR7L Write: Bit 7 U IEN7 U PTAD7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit15 Bit7 Bit 6 U IEN6 U PTAD6 14 Bit6 14 Bit6 14 Bit6 14 Bit6 14 Bit6 14 Bit6 14 Bit6 14 Bit6 Bit 5 U IEN5 U PTAD5 13 0 13 0 13 0 13 0 13 0 13 0 13 0 13 0 Bit 4 U IEN4 U PTAD4 12 0 12 0 12 0 12 0 12 0 12 0 12 0 12 0 Bit 3 U IEN3 U PTAD3 11 0 11 0 11 0 11 0 11 0 11 0 11 0 11 0 Bit 2 U IEN2 U PTAD2 10 0 10 0 10 0 10 0 10 0 10 0 10 0 10 0 Bit 1 U IEN1 U PTAD1 9 0 9 0 9 0 9 0 9 0 9 0 9 0 9 0 Bit 0 U IEN0 U PTAD0 Bit8 0 Bit8 0 Bit8 0 Bit8 0 Bit8 0 Bit8 0 Bit8 0 Bit8 0
$00A0 - $00C7 Reserved
$00A0 - $00C7 Reserved Read: Write: 0 0 0 0 0 0 0 0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 57
Chapter 1 Device Overview
$00C8 - $00CF Asynchronous Serial Communications Interface Module (SCI0)
Address $00C8 $00C9 $00CA $00CB $00CC $00CD $00CE $00CF Name SCIBDH SCIBDL SCICR1 SCICR2 SCISR1 SCISR2 SCIDRH SCIDRL Bit 7 Read: IREN Write: Read: SBR7 Write: Read: LOOPS Write: Read: TIE Write: Read: TDRE Write: Read: 0 Write: Read: R8 Write: Read: R7 Write: T7 Bit 6 TNP1 SBR6 SCISWAI TCIE TC 0 T8 R6 T6 Bit 5 TNP0 SBR5 RSRC RIE RDRF 0 0 R5 T5 Bit 4 SBR12 SBR4 M ILIE IDLE 0 0 R4 T4 Bit 3 SBR11 SBR3 WAKE TE OR 0 0 R3 T3 Bit 2 SBR10 SBR2 ILT RE NF BRK13 0 R2 T2 Bit 1 SBR9 SBR1 PE RWU FE TXDIR 0 R1 T1 Bit 0 SBR8 SBR0 PT SBK PF RAF 0 R0 T0
$00D0 - $00D7 Asynchronous Serial Communications Interface Module (SCI1)
Address $00D0 $00D1 $00D2 $00D3 $00D4 $00D5 $00D6 $00D7 Name SCIBDH SCIBDL SCICR1 SCICR2 SCISR1 SCISR2 SCIDRH SCIDRL Bit 7 Read: IREN Write: Read: SBR7 Write: Read: LOOPS Write: Read: TIE Write: Read: TDRE Write: Read: 0 Write: Read: R8 Write: Read: R7 Write: T7 Bit 6 TNP1 SBR6 SCISWAI TCIE TC 0 T8 R6 T6 Bit 5 TNP0 SBR5 RSRC RIE RDRF 0 0 R5 T5 Bit 4 SBR12 SBR4 M ILIE IDLE 0 0 R4 T4 Bit 3 SBR11 SBR3 WAKE TE OR 0 0 R3 T3 Bit 2 SBR10 SBR2 ILT RE NF BRK13 0 R2 T2 Bit 1 SBR9 SBR1 PE RWU FE TXDIR 0 R1 T1 Bit 0 SBR8 SBR0 PT SBK PF RAF 0 R0 T0
MC9S12NE64 Data Sheet, Rev 1.0 58 Freescale Semiconductor
Introduction
$00D8 - $00DF Serial Peripheral Interface Module (SPI)
Address $00D8 $00D9 $00DA $00DB $00DC $00DD $00DE $00DF Name SPICR1 SPICR2 SPIBR SPISR Reserved SPIDR Reserved Reserved Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 SPIE 0 0 SPIF 0 Bit7 0 0 Bit 6 SPE 0 SPPR2 0 0 6 0 0 Bit 5 SPTIE 0 SPPR1 SPTEF 0 5 0 0 Bit 4 MSTR Bit 3 CPOL Bit 2 CPHA 0 SPR2 0 0 2 0 0 Bit 1 SSOE SPISWAI SPR1 0 0 1 0 0 Bit 0 LSBFE SPC0 SPR0 0 0 Bit0 0 0
MODFEN BIDIROE SPPR0 MODF 0 4 0 0 0 0 0 3 0 0
$00E0 - $00E7 Inter-IC Bus Module (IIC)
Address $00E0 $00E1 $00E2 $00E3 $00E4 $00E5 - $00E7 Name IBAD IBFD IBCR IBSR IBDR Reserved Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 ADR7 IBC7 IBEN TCF D7 0 Bit 6 ADR6 IBC6 IBIE IAAS D6 0 Bit 5 ADR5 IBC5 MS/SL IBB D5 0 Bit 4 ADR4 IBC4 Tx/Rx IBAL D4 0 Bit 3 ADR3 IBC3 TXAK 0 D3 0 Bit 2 ADR2 IBC2 0 RSTA SRW D2 0 Bit 1 ADR1 IBC1 0 IBIF D1 0 Bit 0 0 IBC0 IBSWAI RXAK D0 0
$00E8 - $00FF Reserved
Address $00E8$00FF Name Reserved Read: Write: Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 59
Chapter 1 Device Overview
$0100 - $010F FLASH Control Register (fts64k)
Address $0100 $0101 $0102 $0103 $0104 $0105 $0106 $0107 - $010F Name FCLKDIV FSEC Reserved FCNFG FPROT FSTAT FCMD Reserved Bit 7 Read: FDIVLD Write: Read: KEYEN Write: Read: 0 Write: Read: CBEIE Write: Read: FPOPEN Write: Read: CBEIF Write: Read: 0 Write: Read: 0 Write: Bit 6 PRDIV8 NV6 0 CCIE NV6 CCIF CMDB6 0 Bit 5 FDIV5 NV5 0 KEYACC FPHDIS PVIOL CMDB5 0 Bit 4 FDIV4 NV4 0 0 FPHS1 ACCERR 0 0 Bit 3 FDIV3 NV3 0 0 FPHS0 0 0 0 Bit 2 FDIV2 NV2 0 0 FPLDIS BLANK CMDB2 0 Bit 1 FDIV1 SEC1 0 0 FPLS1 0 0 0 Bit 0 FDIV0 SEC0 0 0 FPLS0 0 CMDB0 0
$0110 - $011F
Address $0110 - $011F Name Reserved Read: Write:
Reserved
Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
$0120 - $0123 Ethernet Physical Transceiver Module (EPHY)
Address $0120 $0121 $0122 $0123 Name EPHYCTL0 EPHYCTL1 EPHYSR EPHYTST Reserved Bit 7 Read: EPHYEN Write: Read: 0 Write: Read: 0 Write: Read: 0 Write: Bit 6 ANDIS 0 0 0 Bit 5 DIS100 0 100DIS 0 Bit 4 DIS10 Bit 3 LEDEN Bit 2 EPHYWAI Bit 1 0 Bit 0 EPHYIEN
PHYADD4 PHYADD3 PHYADD2 PHYADD1 PHYADD0 10DIS 0 0 0 0 0 0 0 EPHYIF 0
$0124 - $013F Reserved
Address $0124 - $013F Name Reserved Read: Write: Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
MC9S12NE64 Data Sheet, Rev 1.0 60 Freescale Semiconductor
Introduction
$0140 - $016F Ethernet Media Access Controller (EMAC)
Address $0140 $0141 $0142 $0143 $0144 $0145 $0146 $0147 $0148 $0149 $014A $014B $0141C $014D $014E $014F $0150 $0151 $0152 $0123 $0154 $0155 Name NETCTL Reserved Reserved RXCTS TXCTS ETCTL ETYPE ETYPE PTIME PTIME IEVENT [15:8] IEVENT [7:0] IMASK [15:8] IMASK [7:0] SWRST Reserved MPADR MRADR MWDATA MWDATA MRDATA MRDATA Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 EMACE 0 0 RXACT TXACT FPET Bit 6 0 0 0 0 0 0 Bit 5 0 0 0 0 CSLF 0 Bit 4 ESWAI 0 0 RFCE PTRC FEMW Bit 3 EXTPHY 0 0 0 SSB FIPV6 Bit 2 MLB 0 0 PROM 0 FARP Bit 1 FDX 0 0 CONMC 0 TCMD FIPV4 FIEEE Bit 0 0 0 0 BCREJ 0
ETYPE[15:8] ETYPE[7:0] PTIME[15:8] PTIME[7:0] RFCIF MMCIF RFCIE MMCIE 0 MACRST 0 0 0 0 0 0 0 0 0 0 0 BREIF LCIF BREIE LCIE 0 0 0 0 WDATA[15:8] WDATA[7:0] RDATA[15:8] RDATA[7:0] RXEIF ECIF RXEIE ECIE 0 0 RXAOIF 0 RXAOIE 0 0 0 RXBOIF 0 RXBOIE 0 0 0 PADDR RADDR RXACIF TXCIF RXACIE TXCIE 0 0 RXBCIF 0 RXBCIE 0 0 0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 61
Chapter 1 Device Overview
$0140 - $016F Ethernet Media Access Controller (EMAC) (Continued)
Address $0156 $0157 $0158 $0159 $015A $015B $015C $015D $015E $015F $0160 $0161 $0162 $0163 $0164 $0165 $0166 $0167 $0168 $0169 $016A $016B $016C Name MCMST Reserved BUFCFG [15:8] BUFCFG [7:0] RXAEFP [15:8] RXAEFP [7:0] RXBEFP [15:8] RXBEFP [7:0] TXEFP [15:8] TXEFP MCHASH MCHASH MCHASH MCHASH MCHASH MCHASH MCHASH MCHASH MACAD MACAD MACAD MACAD MACAD Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 0 OP 0 0 0 0 BUFMAP Bit 6 0 Bit 5 BUSY Bit 4 NOPRE 0 0 0 MAXFL[7:0] 0 0 0 0 0 RXAEFP[10:8] 0 Bit 3 Bit 2 Bit 1 Bit 0
MDCSEL 0 MAXFL[10:8] 0
RXAEFP[7:0] 0 0 0 0 0 RXBEFP[10:8]
RXBEFP[7:0] 0 0 0 0 0 TXEFP[10:8]
TXEFP[7:0] MCHASH[63:56] MCHASH[55:48] MCHASH[47:40] MCHASH[39:32] MCHASH[31:24] MCHASH[23:16] MCHASH[15:8] MCHASH[63:56] MACAD0[55:48] MACAD1[47:32] MACAD2[31:24] MACAD3[23:16] MACAD4[15:8]
MC9S12NE64 Data Sheet, Rev 1.0 62 Freescale Semiconductor
Introduction
$0140 - $016F Ethernet Media Access Controller (EMAC) (Continued)
Address $016D $016E $016F Name MACAD EMISC EMISC Read: Write: Read: Write: Read: Write: Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0
MACAD5[7:0] INDEX 0 MISC[7:0] 0 MISC[10:8]
$0170 - $023F Reserved
Address $0170 - $023F Name Reserved Read: Write: Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
$0240 - $026F Port Integration Module (PIM) (Sheet 1 of 3)
Address $0240 $0241 $0242 $0243 $0244 $0245 $0246 $0247 $0248 $0249 $024A $024B $024C $024D Name PTT PTIT DDRT RDRT PERT PPST Reserved Reserved PTS PTIS DDRS RDRS PERS PPSS Bit 7 Read: PTT7 Write: Read: PTIT7 Write: Read: DDRT7 Write: Read: RDRT7 Write: Read: PERT7 Write: Read: PPST7 Write: Read: 0 Write: Read: 0 Write: Read: PTS7 Write: Read: PTIS7 Write: Read: DDRS7 Write: Read: RDRS7 Write: Read: PERS7 Write: Read: PPSS7 Write: Bit 6 PTT6 PTIT6 DDRT6 RDRT6 PERT6 PPST6 0 0 PTS6 PTIS6 DDRS6 RDRS6 PERS6 PPSS6 Bit 5 PTT5 PTIT5 DDRT5 RDRT5 PERT5 PPST5 0 0 PTS5 PTIS5 DDRS5 RDRS5 PERS5 PPSS5 Bit 4 PTT4 PTIT4 DDRT4 RDRT4 PERT4 PPST4 0 0 PTS4 PTIS4 DDRS4 RDRS4 PERS4 PPSS4 Bit 3 0 0 0 0 0 0 0 0 PTS3 PTIS3 DDRS3 RDRS3 PERS3 PPSS3 Bit 2 0 0 0 0 0 0 0 0 PTS2 PTIS2 DDRS2 RDRS2 PERS2 PPSS2 Bit 1 0 0 0 0 0 0 0 0 PTS1 PTIS1 DDRS1 RDRS1 PERS1 PPSS1 Bit 0 0 0 0 0 0 0 0 0 PTS0 PTIS0 DDRS0 RDRS0 PERS0 PPSS0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 63
Chapter 1 Device Overview
$0240 - $026F Port Integration Module (PIM) (Sheet 2 of 3)
Address $024E $024F $0250 $0251 $0252 $0253 $0254 $0255 $0256 $0257 $0258 $0259 $025A $025B $025C $025D $025E $025F $0260 $0262 $0262 $0263 $0264 Name WOMS Reserved PTG PTIG DDRG RDRG PERG PPSG PIEG PIFG PTH PTIH DDRH RDRH PERH PPSH PIEH PIFH PTJ PTIJ DDRJ RDRJ PERJ Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 WOMS7 0 PTG7 PTIG7 DDRG7 RDRG7 PERG7 PPSG7 PIEG7 PIFG7 0 0 0 0 0 0 0 0 PTJ7 PTIJ7 DDRJ7 RDRJ7 PERJ7 Bit 6 WOMS6 0 PTG6 PTIG6 DDRG6 RDRG6 PERG6 PPSG6 PIEG6 PIFG6 PTH6 PTIH6 DDRH6 RDRH6 PERH6 PPSH6 PIEH6 PIFH6 PTJ6 PTIJ6 DDRJ6 RDRJ6 PERJ6 Bit 5 WOMS5 0 PTG5 PTIG5 DDRG5 RDRG5 PERG5 PPSG5 PIEG5 PIFG5 PTH5 PTIH5 DDRH5 RDRH5 PERH5 PPSH5 PIEH5 PIFH5 0 0 0 0 0 Bit 4 WOMS4 0 PTG4 PTIG4 DDRG4 RDRG4 PERG4 PPSG4 PIEG4 PIFG4 PTH4 PTIH4 DDRH4 RDRH4 PERH4 PPSH4 PIEH4 PIFH4 0 0 0 0 0 Bit 3 WOMS3 0 PTG3 PTIG3 DDRG3 RDRG3 PERG3 PPSG3 PIEG3 PIFG3 PTH3 PTIH3 DDRH3 RDRH3 PERH3 PPSH3 PIEH3 PIFH3 PTJ3 PTIJ3 DDRJ3 RDRJ3 PERJ3 Bit 2 WOMS2 0 PTG2 PTIG2 DDRG2 RDRG2 PERG2 PPSG2 PIEG2 PIFG2 PTH2 PTIH2 DDRH2 RDRH2 PERH2 PPSH2 PIEH2 PIFH2 PTJ2 PTIJ2 DDRJ2 RDRJ2 PERJ2 Bit 1 WOMS1 0 PTG1 PTIG1 DDRG1 RDRG1 PERG1 PPSG1 PIEG1 PIFG1 PTH1 PTIH1 DDRH1 RDRH1 PERH1 PPSH1 PIEH1 PIFH1 PTJ1 PTIJ1 DDRJ1 RDRJ1 PERJ1 Bit 0 WOMS0 0 PTG0 PTIG0 DDRG0 RDRG0 PERG0 PPSG0 PIEG0 PIFG0 PTH0 PTIH0 DDRH0 RDRH0 PERH0 PPSH0 PIEH0 PIFH0 PTJ0 PTIJ0 DDRJ0 RDRJ0 PERJ0
MC9S12NE64 Data Sheet, Rev 1.0 64 Freescale Semiconductor
Introduction
$0240 - $026F Port Integration Module (PIM) (Sheet 3 of 3)
Address $0265 $0266 $0267 $0268 $0269 $026A $026B $026C $026D $026E $026F Name PPSJ PIEJ PIFJ PTL PTIL DDRL RDRL PERL PPSL WOML Reserved Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Bit 7 PPSJ7 PIEJ7 PIFJ7 0 0 0 0 0 0 0 0 Bit 6 PPSJ6 PIEJ6 PIFJ6 PTL6 PTIL6 DDRL6 RDRL6 PERL6 PPSL6 WOML6 0 Bit 5 0 0 0 PTL5 PTIL5 DDRL5 RDRL5 PERL5 PPSL5 WOML5 0 Bit 4 0 0 0 PTL4 PTIL4 DDRL4 RDRL4 PERL4 PPSL4 WOML4 0 Bit 3 PPSJ3 PIEJ3 PIFJ3 PTL3 PTIL3 DDRL3 RDRL3 PERL3 PPSL3 WOML3 0 Bit 2 PPSJ2 PIEJ2 PIFJ2 PTL2 PTIL2 DDRL2 RDRL2 PERL2 PPSL2 WOML2 0 Bit 1 PPSJ1 PIEJ1 PIFJ1 PTL1 PTIL1 DDRL1 RDRL1 PERL1 PPSL1 WOML1 0 Bit 0 PPSJ0 PIEJ0 PIFJ0 PTL0 PTIL0 DDRL0 RDRL0 PERL0 PPSL0 WOML0 0
$0270 - $03FF Reserved Space
Address $0270 - $3FF Name Reserved Read: Write: Bit 7 0 Bit 6 0 Bit 5 0 Bit 4 0 Bit 3 0 Bit 2 0 Bit 1 0 Bit 0 0
1.1.6
Part ID Assignments
The part ID is located in two 8-bit registers PARTIDH and PARTIDL (addresses $001A and $001B after reset). The read-only value is a unique part ID for each revision of the MCU. Table 1-2 shows the assigned part ID number.
Table 1-2. Assigned Part ID Numbers Device MC9S12NE64 MC9S12NE64
1
Mask Set Number 0L19S 1L19S
Part ID1 $8200 $8201
The coding is as follows: Bit 15-12: Major family identifier Bit 11-8: Minor family identifier Bit 7-4: Major mask set revision number including FAB transfers Bit 3-0: Minor (or non full) mask set revision
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 65
Chapter 1 Device Overview
The PRTIDH register is constructed of four hexadecimal digits (0xABCD) as follows: Digit "A" = Family ID Digit "B" = Memory ID (flash size) Digit "C" = Major mask revision Digit "D" = Minor mask revision Currently, family IDs are: 0x0 = D family 0x1 = H family 0x2 = B family 0x3 = C family 0x4 = T family 0x5 = E family 0x6 = reserved 0x7 = reserved 0x8 = NE family Current memory IDs are: 0x0 = 256K 0x1 = 128K 0x2 = 64K 0x3 = 32K 0x4 = 512K The major and minor mask revision increments from 0x0 as follows: * Major mask increments on a complete (full/all layer) mask change. * Minor mask increments on a single or smaller than full mask change. The device memory sizes are located in two 8-bit registers MEMSIZ0 and MEMSIZ1 (addresses $001C and $001D after reset). Table 1-3 shows the read-only values of these registers. See the module mapping and control (MMC) block description chapter for further details.
Table 1-3. Memory Size Registers MC9S12NE64 MC9S12NE64 Register Name MEMSIZ0 MEMSIZ1 Value $03 $80
1.2
Signal Description
This section describes signals that connect off-chip. It includes a pinout diagram, a table of signal properties, and detailed discussion of signals.
MC9S12NE64 Data Sheet, Rev 1.0 66 Freescale Semiconductor
Signal Description
1.2.1
Device Pinout
The MC9S12NE64 is available in a 112-pin low-profile quad flat pack (LQFP) and in an 80-pin quad flat pack (TQFP-EP). Most pins perform two or more functions, as described in this section. Figure 1-3 and Figure 1-4 show the pin assignments.
1.2.1.1
112-Pin LQFP
PJ6/KWJ6/IIC_SDA PJ7/KWJ7/IIC_SCL PT4/TIM_IOC4 PT5/TIM_IOC5 PT6/TIM_IOC6 PT7/TIM_IOC7 PK7/ECS/ROMCTL PK6/XCS PK5/XADDR19 PK4/XADDR18 VDD1 VSS1 PK3/XADDR17 PK2/XADDR16 PK1/XADDR15 PK0/XADDR14 VSSA VRL VRH VDDA PAD7/AN7 PAD6/AN6 PAD5/AN5 PAD4/AN4 PAD3/AN3 PAD2/AN2 PAD1/AN1 PAD0/AN0
112 111 110 109 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85
Figure 1-3. Pin Assignments in 112-Pin LQFP for MC9S12NE64
Freescale Semiconductor
KWG7/PG7 SCI0_RXD/PS0 SCI0_TXD/PS1 SCI1_RXD/PS2 SCI1_TXD/PS3 SPI_MISO/PS4 SPI_MOSI/PS5 SPI_SCK/PS6 SPI_SS/PS7 NOACC/PE7 MODB/IPIPE1/PE6 MODA/IPIPE0/PE5 ECLK/PE4 VSSX2 VDDX2 RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST PL6 PL5 LSTRB/TAGLO/PE3 R/W/PE2 IRQ/PE1 XIRQ/PE0
29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56
MII_TXER/KWH6/PH6 MII_TXEN/KWH5/PH5 MII_TXCLK/KWH4/PH4 MII_TXD3/KWH3/PH3 MII_TXD2/KWH2/PH2 MII_TXD1/KWH1/PH1 MII_TXD0/KWH0/PH0 MII_MDC/KWJ0/PJ0 MII_MDIO/KWJ1/PJ1 ADDR0/DATA0/PB0 ADDR1/DATA1/PB1 ADDR2/DATA2/PB2 ADDR3/DATA3/PB3 VDDX1 VSSX1 ADDR4/DATA4/PB4 ADDR5/DATA5/PB5 ADDR6/DATA6/PB6 ADDR7/DATA7/PB7 MII_CRS/KWJ2/PJ2 MII_COL/KWJ3/PJ3 MII_RXD0/KWG0/PG0 MII_RXD1/KWG1/PG1 MII_RXD2/KWG2/PG2 MII_RXD3/KWG3/PG3 MII_RXCLK/KWG4/PG4 MII_RXDV/KWG5/PG5 MII_RXER/KWG6/PG6
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28
112-PIN LQFP
Signals shown in Bold are not available on the 80-pin package
84 83 82 81 80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57
PL0/ACTLED PL1/LNKLED VDDR PL2/SPDLED PA7/ADDR15/DATA15 PA6/ADDR14/DATA14 PA5/ADDR13/DATA13 PA4/ADDR12/DATA12 PHY_VSSRX PHY_VDDRX PHY_RXN PHY_RXP PHY_VSSTX PHY_TXN PHY_TXP PHY_VDDTX PHY_VDDA PHY_VSSA PHY_RBIAS VDD2 VSS2 PA3/ADDR11/DATA11 PA2/ADDR10/DATA10 PA1/ADDR9/DATA9 PA0/ADDR8/DATA8 PL3/DUPLED PL4/COLLED BKGD/MODC/TAGHI
MC9S12NE64 Data Sheet, Rev 1.0 67
Chapter 1 Device Overview
1.2.1.2
80-Pin TQFP-EP
The MEBI is not available in the 80-pin package. The 80-pin package features an exposed tab that is used for enhanced thermal management. The exposed tab requires special PCB layout considerations as described in Appendix B, "Schematic and PCB Layout Design Recommendations."
PJ6/KWJ6/IIC_SDA PJ7/KWJ7/IIC_SCL PT4/TIM_IOC4/ PT5/TIM_IOC5 PT6/TIM_IOC6 PT7/TIM_IOC7 VDD1 VSS1 VSSA VRL VRH VDDA PAD7/AN7 PAD6/AN6 PAD5/AN5 PAD4/AN4 PAD3/AN3 PAD2/AN2 PAD1/AN1 PAD0/AN0
80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61
MII_TXER/KWH6/PH6 MII_TXEN/KWH5/PH5 MII_TXCLK/KWH4/PH4 MII_TXD3/KWH3/PH3 MII_TXD2/KWH2/PH2 MII_TXD1/KWH1/PH1 MII_TXD0/KWH0/PH0 MII_MDC/KWJ0/PJ0 MII_MDIO/KWJ1/PJ1 VDDX1 VSSX1 MII_CRS/KWJ2/PJ2 MII_COL/KWJ3/PJ3 MII_RXD0/KWG0/PG0 MII_RXD1/KWG1/PG1 MII_RXD2/KWG2/PG2 MII_RXD3/KWG3/PG3 MII_RXCLK/KWG4/PG4 MII_RXDV/KWG5/PG5 MII_RXER/KWG6/PG6
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
80-PIN TPFP-EP
60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41
PL0/ACTLED PL1/LNKLED VDDR PL2/SPDLED PHY_VSSRX PHY_VDDRX PHY_RXN PHY_RXP PHY_VSSTX PHY_TXN PHY_TXP PHY_VDDTX PHY_VDDA PHY_VSSA PHY_RBIAS VDD2 VSS2 PL3/DUPLED PL4/COLLED BKGD/MODC
Figure 1-4. Pin Assignments in 80-Pin TQFP-EP for MC9S12NE64
68
SCI0_RXD/PS0 SCI0_TXD/PS1 SCI1_RXD/PS2 SCI1_TXD/PS3 SPI_MISO/PS4 SPI_MOSI/PS5 SPI_SCK/PS6 SPI_SS/PS7 ECLK/PE4 VSSX2 VDDX2 RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST IRQ/PE1 XIRQ/PE0
21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor
Signal Description
1.2.2
Signal Properties Summary
Table 1-4. Signal Properties (Sheet 1 of 4)
Pin Name Function 1 PH6 Pin Name Function 2 KWH6 Pin Name Function 3 MII_TXER Internal Pull Resistor Description CTRL Reset State
orig. order
80 Pin 112 Pin No. No.
Power Domain
Reset State
28
1
1
VDDX
Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH error; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH enable; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH clock; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH data; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH data; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH data; interrupt Port H I/O pin; PERH/ Disabled EMAC MII transmit PPSH data; interrupt PERJ/ PPSJ PERJ/ PPSJ Port J I/O pin; EMAC Disabled MII management data clock; interrupt Port J I/O pin; EMAC Disabled MII management data I/O; interrupt
Input
29
2
2
PH5
KWH5
MII_TXEN
VDDX
Input
30
3
3
PH4
KWH4
MII_TXCLK
VDDX
Input
31
4
4
PH3
KWH3
MII_TXD3
VDDX
Input
32
5
5
PH2
KWH2
MII_TXD2
VDDX
Input
33
6
6
PH1
KWH1
MII_TXD1
VDDX
Input
34
7
7
PH0
KWH0
MII_TXD0
VDDX
Input
40
8
8
PJ0
KWJ0
MII_MDC
VDDX
Input
39
9
9 10-13 16-19 14 15 20
PJ1
KWJ1 ADDR[7:0] / DATA[7:0] -- -- KWJ2
MII_MDIO
VDDX
Input
15 62 63 38
-- 10 11 12
PB[7:0] VDDX1 VSSX1 PJ2
-- -- -- MII_CRS
VDDX
Port B I/O pin; PUCR Disabled multiplexed address/data See Table 1-5 See Table 1-5 Port J I/O pin; EMAC Disabled MII carrier sense; interrupt Port J I/O pin; EMAC Disabled MII collision; interrupt
Input
VDDX
PERJ/ PPSJ PERJ/ PPSJ
Input
37
13
21
PJ3
KWJ3
MII_COL
VDDX
Input
27
14
22
PG0
KWG0
MII_RXD0
VDDX
Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG data; interrupt Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG data; interrupt
Input
26
15
23
PG1
KWG1
MII_RXD1
VDDX
Input
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 69
Chapter 1 Device Overview
Table 1-4. Signal Properties (Sheet 2 of 4)
Pin Name Function 1 PG2 Pin Name Function 2 KWG2 Pin Name Function 3 MII_RXD2 Internal Pull Resistor Description CTRL Reset State
orig. order
80 Pin 112 Pin No. No.
Power Domain
Reset State
25
16
24
VDDX
Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG data; interrupt Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG data; interrupt Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG clock; interrupt Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG data valid; interrupt Port G I/O pin; PERG/ Disabled EMAC MII receive PPSG error; interrupt PERG/ Port G I/O pin; Disabled PPSG interrupt PERS/ PPSS PERS/ PPSS PERS/ PPSS PERS/ PPSS PERS/ PPSS PERS/ PPSS PERS/ PPSS PERS/ PPSS PUCR Disabled Disabled Disabled Disabled Disabled Disabled Disabled Disabled Up Port S I/O pin; SCI0 receive signal Port S I/O pin; SCI0 transmit signal Port S I/O pin; SCI1 receive signal Port S I/O pin; SCI1 transmit signal Port S I/O pin; SPI MISO signal Port S I/O pin; SPI MOSI signal Port S I/O pin; SPI SCK signal Port S I/O pin; SPI SS signal Port E I/O pin; access
Input
24
17
25
PG3
KWG3
MII_RXD3
VDDX
Input
23
18
26
PG4
KWG4
MII_RXCLK
VDDX
Input
22
19
27
PG5
KWG5
MII_RXDV
VDDX
Input
21 20 55 54 53 52 51 50 49 48 6 7
20 -- 21 22 23 24 25 26 27 28 -- --
28 29 30 31 32 33 34 35 36 37 38 39
PG6 PG7 PS0 PS1 PS2 PS3 PS4 PS5 PS6 PS7 PE7 PE6
KWG6 KWG7 SCI0_RXD SCI0_TXD SCI1_RXD SCI1_TXD SPI_MISO SPI_MOSI SPI_SCK SPI_SS NOACC IPIPE1
MII_RXER -- -- -- -- -- -- -- -- -- -- MODB
VDDX VDDX VDDX VDDX VDDX VDDX VDDX VDDX VDDX VDDX VDDX VDDX
Input Input Input Input Input Input Input Input Input Input Input Input
Port E I/O pin; pipe While RESET status; mode pin is low: Down selection Port E I/O pin; pipe While RESET status; mode pin is low: Down selection PUCR Up Port E I/O pin; bus clock output See Table 1-5 See Table 1-5
8 9 64 65
-- 29 30 31
40 41 42 43
PE5 PE4 VSSX2 VDDX2
IPIPE0 ECLK -- --
MODA -- -- --
VDDX VDDX
Input Input
MC9S12NE64 Data Sheet, Rev 1.0 70 Freescale Semiconductor
Signal Description
Table 1-4. Signal Properties (Sheet 3 of 4)
Pin Name Function 1 RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST PL6 PL5 PE3 Pin Name Function 2 -- -- -- -- -- -- -- -- -- TAGLO Pin Name Function 3 -- -- -- -- -- -- -- -- -- LSTRB VDDPLL VDDPLL VDDX VDDX VDDX VDDX NA NA None NA NA None VDDPLL NA NA Internal Pull Resistor Description CTRL VDDX None Reset State None External reset pin See Table 1-5 PLL filter pin See Table 1-5 Oscillator pins Must be grounded Input Output Input Input Input Input
orig. order
80 Pin 112 Pin No. No. 32 33 34 35 36 37 38 -- -- -- 44 45 46 47 48 49 50 51 52 53
Power Domain
Reset State Input
4 66 3 67 1 2 68 41 42 10
PERL/ Disabled Port L I/O pin PPSL PERL/ Disabled Port L I/O pin PPSL PUCR Up Port E I/O pin; low strobe; tag signal low Port E I/O pin; R/W in expanded modes Port E input; external interrupt pin Port E input; non-maskable interrupt pin Background debug; mode pin; tag signal high Port L I/O pin; EPHY collision LED Port L I/O pin; EPHY full duplex LED
11 12 13
-- 39 40
54 55 56
PE2 PE1 PE0
R/W IRQ XIRQ
-- -- --
VDDX VDDX VDDX
PUCR PUCR PUCR
Up Up Up
Input Input Input
5 43 44 14 69 70
41 42 43 -- 44 45
57 58 59 60-63 77-80 64 65
BKGD PL4 PL3 PA[7:0] VSS2 VDD2
MODC COLLED DUPLED ADDR[15:8]/ DATA[15:8] -- --
TAGHI -- -- -- -- --
VDDX VDDX VDDX VDDX
None PERL/ PPSL PERL/ PPSL
Up Disabled Disabled
Input Input Input Input
Port A I/O pin; PUCR Disabled multiplexed address/data See Table 1-5 See Table 1-5 Bias control:1.0% external resistor (see the Electricals Chapter for RBias) See Table 1-5 See Table 1-5 See Table 1-5
61
46
66
PHY_RBIAS
--
--
PHY_ VSSA
NA
NA
Analog Input
71 72 73 58
47 48 49 50
67 68 69 70
PHY_VSSA PHY_VDDA PHY_VDDTX PHY_TXP
-- -- -- --
-- -- -- -- PHY_ VDDTX NA NA
Twisted pair output +
Analog Output
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 71
Chapter 1 Device Overview
Table 1-4. Signal Properties (Sheet 4 of 4)
Pin Name Function 1 PHY_TXN PHY_VSSTX PHY_RXP PHY_RXN PHY_VDDRX PHY_VSSRX PL2 VDDR/ VREGEN PL1 PL0 PAD[7:0] VDDA VRH VRL VSSA PK[5:0] VSS1 VDD1 PK[6] PK[7] Pin Name Function 2 -- -- -- -- -- -- SPDLED -- LNKLED ACTLED AN[7:0] -- -- -- -- XADDR [19:14] -- -- XCS ECS TIM_IOC [7:4] KWJ7 KWJ6 Pin Name Function 3 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- ROMCTL VDDX VDDX PUCR PUCR PERT/ PPST PERJ/ PPSJ PERJ/ PPSJ Up Up VDDX PUCR Up VDDX VDDX VDDA PERL/ PPSL PERL/ PPSL None Disabled VDDX PERL/ PPSL PHY_ VDDRX PHY_ VDDRX NA NA NA NA Internal Pull Resistor Description CTRL PHY_ VDDTX NA Reset State NA Twisted pair output - See Table 1-5 Twisted pair input + Twisted pair input - See Table 1-5 See Table 1-5 Port L I/O pin; EPHY Disabled 100 Mbps LED See Table 1-5 Port L I/O pin; EPHY valid link LED Input Input Input Input Analog Input Analog Input
orig. order
80 Pin 112 Pin No. No.
Power Domain
Reset State Analog Output
57 74 60 59 75 76 45 77 46 47 16 78 79 80 81 19 82 83 18 17
51 52 53 54 55 56 57 58 59 60 61-68 69 70 71 72 -- 73 74 -- --
71 72 73 74 75 76 81 82 83 84 85-92 93 94 95 96 97-100 103-104 101 102 105 106
Port L I/O pin; EPHY Disabled transmit or receive LED None Port AD input pins; ATD inputs See Table 1-5 See Table 1-5 See Table 1-5 See Table 1-5 Port K I/O pins; extended addresses See Table 1-5 See Table 1-5
Input
Port K I/O pin; Input external chip select Port K I/O pin; emulation chip select; Input
56 35 36
75-78 107-110 79 80 111 112
PT[7:4] PJ7 PJ6
-- IIC_SCL IIC_SDA
VDDX VDDX VDDX
Port T I/O pins; timer Disabled TIM input cap. output compare Disabled Disabled Port J I/O pin; IIC SCL; interrupt Port J I/O pin; IIC SDA; interrupt
Input Input Input
MC9S12NE64 Data Sheet, Rev 1.0 72 Freescale Semiconductor
Signal Description
NOTE Signals shown in bold are not available in the 80-pin package. NOTE If the port pins are not bonded out in the chosen package, the user must initialize the registers to be inputs with enabled pull resistance to avoid excess current consumption. This applies to the following pins: (80-Pin TQFP-EP): Port A[7:0], Port B[7:0], Port E[7,6,5,3,2], Port K[7:0]; Port G[7]; Port L[6:5]
1.2.3
1.2.3.1
Detailed Signal Descriptions
EXTAL, XTAL -- Oscillator Pins
EXTAL and XTAL are the external clock and crystal driver pins. Upon reset, all the device clocks are derived from the EXTAL input frequency. XTAL is the crystal output.
1.2.3.2
RESET -- External Reset Pin
RESET is an active-low bidirectional control signal that acts as an input to initialize the MCU to a known start-up state. It also acts as an open-drain output to indicate that an internal failure has been detected in either the clock monitor or COP watchdog circuit. External circuitry connected to the RESET pin must not include a large capacitance that would interfere with the ability of this signal to rise to a valid logic one within 32 ECLK cycles after the low drive is released. Upon detection of any reset, an internal circuit drives the RESET pin low and a clocked reset sequence controls when the MCU can begin normal processing. The RESET pin includes an internal pull-up device.
1.2.3.3
XFC -- PLL Loop Filter Pin
Dedicated pin used to create the PLL filter. See A.12.3.1, "XFC Component Selection," and the CRG block description chapter for more detailed information.
1.2.3.4
BKGD / MODC / TAGHI -- Background Debug / Tag High / Mode Pin
The BKGD / MODC / TAGHI pin is used as a pseudo-open-drain pin for background debug communication. It is used as an MCU operating mode select pin during reset. The state of this pin is latched to the MODC bit at the rising edge of RESET. In MCU expanded modes of operation, while instruction tagging is on, an input low on this pin during the falling edge of E-clock tags the high half of the instruction word being read into the instruction queue. This pin always has an internal pull-up.
1.2.3.5
PA[7:0] / ADDR[15:8] / DATA[15:8] -- Port A I/O Pins
PA[7:0] are general-purpose I/O pins. In MCU expanded modes of operation, these pins are used for the multiplexed external address and data bus. PA[7:0] pins are not available in the 80-pin package version.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 73
Chapter 1 Device Overview
1.2.3.6
PB[7:0] / ADDR[7:0] / DATA[7:0] -- Port B I/O Pins
PB[7:0] are general-purpose I/O pins. In MCU expanded modes of operation, these pins are used for the multiplexed external address and data bus. PB[7:0] pins are not available in the 80-pin package version.
1.2.3.7
PE7 / NOACC -- Port E I/O Pin 7
PE7 is a general-purpose I/O pin. During MCU expanded modes of operation, the NOACC signal, while enabled, is used to indicate that the current bus cycle is an unused or free cycle. This signal will assert when the CPU is not using the bus.
1.2.3.8
PE6 / IPIPE1/ MODB -- Port E I/O Pin 6
PE6 is a general-purpose I/O pin. It is used as an MCU operating mode select pin during reset. The state of this pin is latched to the MODB bit at the rising edge of RESET. This pin is shared with the instruction queue tracking signal IPIPE1. PE6 is an input with a pulldown device that is active only while RESET is low. PE6 is not available in the 80-pin package version.
1.2.3.9
PE5 / IPIPE0 / MODA -- Port E I/O Pin 5
PE5 is a general-purpose I/O pin. It is used as an MCU operating mode select pin during reset. The state of this pin is latched to the MODA bit at the rising edge of RESET. This pin is shared with the instruction queue tracking signal IPIPE0. This pin is an input with a pull-down device that is only active while RESET is low. PE5 is not available in the 80-pin package version.
1.2.3.10
PE4 / ECLK-- Port E I/O Pin 4 / E-Clock Output
PE4 is a general-purpose I/O pin. In normal single chip mode, PE4 is configured with an active pull-up while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPEE in the PUCR register. In all modes except normal single chip mode, the PE4 pin is initially configured as the output connection for the internal bus clock (ECLK). ECLK is used as a timing reference and to demultiplex the address and data in expanded modes. The ECLK frequency is equal to 1/2 the crystal frequency out of reset. The ECLK output function depends upon the settings of the NECLK bit in the PEAR register, the IVIS bit in the MODE register, and the ESTR bit in the EBICTL register. All clocks, including the ECLK, are halted while the MCU is in stop mode. It is possible to configure the MCU to interface to slow external memory. ECLK can be stretched for such accesses. The PE4 pin is initially configured as ECLK output with stretch in all expanded modes. See the MISC register (EXSTR[1:0] bits) for more information. In normal expanded narrow mode, the ECLK is available for use in external select decode logic or as a constant speed clock for use in the external application system.
MC9S12NE64 Data Sheet, Rev 1.0 74 Freescale Semiconductor
Signal Description
1.2.3.11
PE3 / TAGLO / LSTRB -- Port E I/O Pin 3 / Low-Byte Strobe (LSTRB)
PE3 can be used as a general-purpose I/O in all modes and is an input with an active pull-up out of reset. The pull-up can be turned off by clearing PUPEE in the PUCR register. PE3 can also be configured as a Low-Byte Strobe (LSTRB). The LSTRB signal is used in write operations, so external low byte writes will not be possible until this function is enabled. LSTRB can be enabled by setting the LSTRE bit in the PEAR register. In expanded wide and emulation narrow modes, and while BDM tagging is enabled, the LSTRB function is multiplexed with the TAGLO function. While enabled, a logic zero on the TAGLO pin at the falling edge of ECLK will tag the low byte of an instruction word being read into the instruction queue. PE3 is not available in the 80-pin package version.
1.2.3.12
PE2 / R/W -- Port E I/O Pin 2 / Read/Write
PE2 can be used as a general-purpose I/O in all modes and is configured as an input with an active pull-up out of reset. The pull-up can be turned off by clearing PUPEE in the PUCR register. If the read/write function is required, it must be enabled by setting the RDWE bit in the PEAR register. External writes will not be possible until the read/write function is enabled. The PE2 pin is not available in the 80-pin package version.
1.2.3.13
PE1 / IRQ -- Port E Input Pin 1 / Maskable Interrupt Pin
PE1 is always an input and can be read anytime. The PE1 pin is also the IRQ input used for requesting an asynchronous interrupt to the MCU. During reset, the I bit in the condition code register (CCR) is set and any IRQ interrupt is masked until the I bit is cleared. The IRQ is software programmable to either falling-edge-sensitive triggering or level-sensitive triggering based on the setting of the IRQE bit in the IRQCR register. The IRQ is always enabled and configured to level-sensitive triggering out of reset. It can be disabled by clearing IRQEN bit in the IRQCR register. There is an active pull-up on this pin while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPEE in the PUCR register.
1.2.3.14
PE0 / XIRQ -- Port E input Pin 0 / Non-Maskable Interrupt Pin
PE0 is always an input and can be read anytime. The PE0 pin is also the XIRQ input for requesting a non-maskable asynchronous interrupt to the MCU. During reset, the X bit in the condition code register (CCR) is set and any XIRQ interrupt is masked until the X bit is cleared. Because the XIRQ input is level sensitive triggered, it can be connected to a multiple-source wired-OR network. There is an active pull-up on this pin while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPEE in the PUCR register.
1.2.3.15
PK7 / ECS / ROMCTL -- Port K I/O Pin 7
PK7 is a general-purpose I/O pin. During MCU expanded modes of operation, while the EMK bit in the MODE register is set to 1, this pin is used as the emulation chip select output (ECS). In expanded modes, the PK7 pin can be used to determine the reset state of the ROMON bit in the MISC register. At the rising edge of RESET, the state of the PK7 pin is latched to the ROMON bit. There is an active pull-up on this pin while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPKE in the PUCR register. PK7 is not available in the 80-pin package version.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 75
Chapter 1 Device Overview
1.2.3.16
PK6 / XCS -- Port K I/O Pin 6
PK6 is a general-purpose I/O pin. During MCU expanded modes of operation, while the EMK bit in the MODE register is set to 1, this pin is used as an external chip select signal for most external accesses that are not selected by ECS. There is an active pull-up on this pin while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPKE in the PUCR register. See the multiplexed external bus interface (MEBI) block description chapter for further details. PK6 is not available in the 80-pin package version.
1.2.3.17
PK[5:0] / XADDR[19:14] -- Port K I/O Pins [5:0]
PK[5:0] are general-purpose I/O pins. In MCU expanded modes of operation, when the EMK bit in the MODE register is set to 1, PK[5:0] provide the expanded address XADDR[19:14] for the external bus. There are active pull-ups on PK[5:0] pins while in reset and immediately out of reset. The pull-up can be turned off by clearing PUPKE in the PUCR register. See multiplexed external bus interface (MEBI) block description chapter for further details. PK[5:0] are not available in the 80-pin package version.
1.2.3.18
PAD[7:0] / AN[7:0] -- Port AD Input Pins [7:0]
PAD[7:0] are the analog inputs for the analog-to-digital converter (ATD). They can also be configured as general-purpose digital input. See the port integration module (PIM) PIM_9NE64 block description chapter and the ATD_10B8C block description chapter for information about pin configurations.
1.2.3.19
PG7 / KWG7 -- Port G I/O Pin 7
PG7 is a general-purpose I/O pin. It can be configured to generate an interrupt (KWG7) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG7 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter for information about pin configurations.
1.2.3.20
PG6 / KWG6 / MII_RXER -- Port G I/O Pin 6
PG6 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive error (MII_RXER) signal. It can be configured to generate an interrupt (KWG6) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG6 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.21
PG5 / KWG5 / MII_RXDV -- Port G I/O Pin 5
PG5 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive data valid (MII_RXDV) signal. It can be configured to generate an interrupt (KWG5) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG5 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 76 Freescale Semiconductor
Signal Description
1.2.3.22
PG4 / KWG4 / MII_RXCLK -- Port G I/O Pin 4
PG4 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive clock (MII_RXCLK) signal. It can be configured to generate an interrupt (KWG4) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG4 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.23
PG3 / KWG3 / MII_RXD3 -- Port G I/O Pin 3
PG3 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive data (MII_RXD3) signal. It can be configured to generate an interrupt (KWG3) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG3 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.24
PG2 / KWG2 / MII_RXD2 -- Port G I/O Pin 2
PG2 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive data (MII_RXD2) signal. It can be configured to generate an interrupt (KWG2) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG2 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.25
PG1 / KWG1 / MII_RXD1 -- Port G I/O Pin 1
PG1 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive data (MII_RXD1) signal. It can be configured to generate an interrupt (KWG1) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG1 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.26
PG0 / KWG0 / MII_RXD0 -- Port G I/O Pin 0
PG0 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the receive data (MII_RXD0) signal. It can be configured to generate an interrupt (KWG0) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PG0 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.27
PH6 / KWH6 / MII_TXER -- Port H I/O Pin 6
PH6 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit error (MII_TXER) signal. It can be configured to generate an interrupt (KWH6) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH6 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 77
Chapter 1 Device Overview
1.2.3.28
PH5 / KWH5 / MII_TXEN -- Port H I/O Pin 5
PH5 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit enabled (MII_TXEN) signal. It can be configured to generate an interrupt (KWH5) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH5 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.29
PH4 / KWH4 / MII_TXCLK -- Port H I/O Pin 4
PH4 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit Clock (MII_TXCLK) signal. It can be configured to generate an interrupt (KWH4) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH4 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.30
PH3 / KWH3 / MII_TXD3 -- Port H I/O Pin 3
PH3 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit data (MII_TXD3) signal. It can be configured to generate an interrupt (KWH3) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH3 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.31
PH2 / KWH2 / MII_TXD2 -- Port H I/O Pin 2
PH2 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit data (MII_TXD2) signal. It can be configured to generate an interrupt (KWH2) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH2 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.32
PH1 / KWH1 / MII_TXD1 -- Port H I/O Pin 1
PH1 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit data (MII_TXD1) signal. It can be configured to generate an interrupt (KWH1) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH1 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.33
PH0 / KWH0 / MII_TXD0 -- Port H I/O Pin 0
PH0 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the transmit data (MII_TXD0) signal. It can be configured to generate an interrupt (KWH0) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PH0 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 78 Freescale Semiconductor
Signal Description
1.2.3.34
PJ7 / KWJ7 / IIC_SCL -- Port J I/O Pin 7
PJ7 is a general-purpose I/O pin. When the IIC module is enabled, it becomes the serial clock line (IIC_SCL) for the IIC module (IIC). It can be configured to generate an interrupt (KWJ7) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ7 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the IIC block description chapter for information about pin configurations.
1.2.3.35
PJ6 / KWJ6 / IIC_SDA -- Port J I/O Pin 6
PJ6 is a general-purpose I/O pin. When the IIC module is enabled, it becomes the serial data line (IIC_SDL) for the IIC module (IIC). It can be configured to generate an interrupt (KWJ6) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ6 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the IIC block description chapter for information about pin configurations.
1.2.3.36
PJ3 / KWJ3 / MII_COL -- Port J I/O Pin 3
PJ3 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the collision (MII_COL) signal. It can be configured to generate an interrupt (KWJ3) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ3 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.37
PJ2 / KWJ2 / MII_CRS /-- Port J I/O Pin 2
PJ2 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the carrier sense (MII_CRS) signal. It can be configured to generate an interrupt (KWJ2) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ2 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.38
PJ1 / KWJ1 / MII_MDIO -- Port J I/O Pin 1
PJ1 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the Management Data I/O (MII_MDIO) signal. It can be configured to generate an interrupt (KWH1) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ1 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
1.2.3.39
PJ0 / KWJ0 / MII_MDC -- Port J I/O Pin 0
PJ0 is a general-purpose I/O pin. When the EMAC MII external interface is enabled, it becomes the management data clock (MII_MDC) signal. It can be configured to generate an interrupt (KWJ0) causing the MCU to exit stop or wait mode. While in reset and immediately out of reset, the PJ0 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EMAC block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 79
Chapter 1 Device Overview
1.2.3.40
PL6 -- Port L I/O Pin 6
PL6 is a general-purpose I/O pin. While in reset and immediately out of reset, the PL6 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter for information about pin configurations.
1.2.3.41
PL5 -- Port L I/O Pin 5
PL5 is a general-purpose I/O pin. While in reset and immediately out of reset, the PL5 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter for information about pin configurations.
1.2.3.42
PL4 / COLLED -- Port L I/O Pin 4
PL4 is a general-purpose I/O pin. When the internal Ethernet physical transceiver (EPHY) is enabled with the EPHYCTL0 LEDEN bit set, it becomes the collision status signal (COLLED). While in reset and immediately out of reset the PL4 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EPHY block description chapter for information about pin configurations.
1.2.3.43
PL3 / DUPLED -- Port L I/O Pin 3
PL3 is a general-purpose I/O pin. When the internal Ethernet physical transceiver (EPHY) is enabled with the EPHYCTL0 LEDEN bit set, it becomes the duplex status signal (DUPLED). While in reset and immediately out of reset, the PL3 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EPHY block description chapter for information about pin configurations.
1.2.3.44
PL2 / SPDLED -- Port L I/O Pin 2
PL2 is a general-purpose I/O pin. When the internal Ethernet physical transceiver (EPHY) is enabled with the EPHYCTL0 LEDEN bit set, it becomes the speed status signal (SPDLED). While in reset and immediately out of reset, the PL2 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EPHY block description chapter for information about pin configurations.
1.2.3.45
PL1 / LNKLED -- Port L I/O Pin 1
PL1 is a general-purpose I/O pin. When the internal Ethernet physical transceiver (EPHY) is enabled with the EPHYCTL0 LEDEN bit set, it becomes the link status signal (LNKLED). While in reset and immediately out of reset, the PL1 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EPHY block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 80 Freescale Semiconductor
Signal Description
1.2.3.46
PL0 / ACTLED -- Port L I/O Pin 0
PL0 is a general-purpose I/O pin. When the internal Ethernet physical transceiver (EPHY) is enabled with the EPHYCTL0 LEDEN bit set, it becomes the active status signal (ACTLED). While in reset and immediately out of reset, the PL0 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the EPHY block description chapter for information about pin configurations.
1.2.3.47
PS7 / SPI_SS -- Port S I/O Pin 7
PS7 is a general-purpose I/O. When the serial peripheral interface (SPI) is enabled, PS7 becomes the slave select pin SS. While in reset and immediately out of reset, the PS7 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SPI block description chapter for information about pin configurations.
1.2.3.48
PS6 / SPI_SCK -- Port S I/O Pin 6
PS6 is a general-purpose I/O pin. When the serial peripheral interface (SPI) is enabled, PS6 becomes the serial clock pin, SCK. While in reset and immediately out of reset, the PS6 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SPI block description chapter for information about pin configurations.
1.2.3.49
PS5 / SPI_MOSI -- Port S I/O Pin 5
PS5 is a general-purpose I/O pin. When the serial peripheral interface (SPI) is enabled, PS5 becomes the master output (during master mode) or slave input (during slave mode) pin. While in reset and immediately out of reset, the PS5 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SPI block description chapter for information about pin configurations.
1.2.3.50
PS4 / SPI_MISO -- Port S I/O Pin 4
PS4 is a general-purpose I/O pin. When the serial peripheral interface (SPI) is enabled, PS4 becomes the master input (during master mode) or slave output (during slave mode) pin. While in reset and immediately out of reset, the PS4 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SPI block description chapter for information about pin configurations.
1.2.3.51
PS3 / SCI1_TXD -- Port S I/O Pin 3
PS3 is a general-purpose I/O. When the serial communications interface 1 (SCI1) transmitter is enabled, PS3 becomes the transmit pin, TXD, of SCI1. While in reset and immediately out of reset, the PS3 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SCI block description chapter for information about pin configurations.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 81
Chapter 1 Device Overview
1.2.3.52
PS2 / SCI1_RXD -- Port S I/O Pin 2
PS2 is a general-purpose I/O. When the serial communications interface 1 (SCI1) receiver is enabled, PS2 becomes the receive pin RXD of SCI1. While in reset and immediately out of reset, the PS2 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SCI block description chapter for information about pin configurations.
1.2.3.53
PS1 / SCI0_TXD -- Port S I/O Pin 1
PS1 is a general-purpose I/O. When the serial communications interface 0 (SCI0) transmitter is enabled, PS1 becomes the transmit pin, TXD, of SCI0. While in reset and immediately out of reset, the PS1 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SCI block description chapter for information about pin configurations.
1.2.3.54
PS0 / SCI0_RXD -- Port S I/O Pin 0
PS0 is a general-purpose I/O. When the serial communications interface 0 (SCI0) receiver is enabled, PS0 becomes the receive pin RXD0 of SCI0. While in reset and immediately out of reset, the PS0 pin is configured as a high-impedance input pin. See the port integration module (PIM) PIM_9NE64 block description chapter and the SCI block description chapter for information about pin configurations.
1.2.3.55
PT[7:4] / IOC1[7:4] -- Port T I/O Pins [7:4]
PT[7:4] are general-purpose I/O pins. While the timer system 1 (TIM1) is enabled, these pins can also be configured as the TIM1 input capture or output compare pins IOC1[7-4]. While in reset and immediately out of reset, the PT[7:4] pins are configured as a high-impedance input pins. See the port integration module (PIM) PIM_9NE64 block description chapter and the TIM_16B4C block description chapter for information about pin configurations.
1.2.3.56
PHY_TXP -- EPHY Twisted Pair Output +
Ethernet twisted pair output pin. This pin is hi-z out of reset.
1.2.3.57
PHY_TXN -- EPHY Twisted Pair Output -
Ethernet twisted pair output pin. This pin is hi-z out of reset.
1.2.3.58
PHY_RXP -- EPHY Twisted Pair Input +
Ethernet twisted pair input pin. This pin is hi-z out of reset.
1.2.3.59
PHY_RXN -- EPHY Twisted Pair Input -
Ethernet twisted pair input pin. This pin is hi-z out of reset.
MC9S12NE64 Data Sheet, Rev 1.0 82 Freescale Semiconductor
Signal Description
1.2.3.60
PHY_RBIAS -- EPHY Bias Control Resistor
Connect a 1.0% external resistor, RBIAS, between PHY_RBIAS pin and PHY_VSSA. This resistor must be placed as near as possible to the chip pin. Stray capacitance must be kept to less than 10 pF (> 50 pF may cause instability). No high-speed signals are allowed in the region of RBIAS.
1.2.4
1.2.4.1
Power Supply Pins
VDDX1, VDDX2, VSSX1, VSSX2 -- Power & Ground Pins for I/O & Internal Voltage Regulator
External power and ground for I/O drivers. Bypass requirements depend on how heavily the MCU pins are loaded.
1.2.4.2
VDDR/VREGEN -- Power Pin for Internal Voltage Regulator
External power for internal voltage regulator.
1.2.4.3
VDD1, VDD2, VSS1, VSS2 -- Core Power Pins
Power is supplied to the MCU through VDD and VSS. This 2.5V supply is derived from the internal voltage regulator. No static load is allowed on these pins. The internal voltage regulator is turned off, if VDDR/VREGEN is tied to ground.
1.2.4.4
VDDA, VSSA -- Power Supply Pins for ATD and VREG_PHY
VDDA and VSSA are the power supply and ground input pins for the voltage regulator and the analog-to-digital converter.
1.2.4.5
PHY_VDDA, PHY_VSSA -- Power Supply Pins for EPHY Analog
Power is supplied to the Ethernet physical transceiver (EPHY) PLLs through PHY_VDDA and PHY_VSSA. This 2.5V supply is derived from the internal voltage regulator. No static load is allowed on these pins. The internal voltage regulator is turned off, if VDDR/VREGEN is tied to ground.
1.2.4.6
PHY_VDDRX, PHY_VSSRX -- Power Supply Pins for EPHY Receiver
Power is supplied to the Ethernet physical transceiver (EPHY) receiver through PHY_VDDRX and PHY_VSSRX. This 2.5V supply is derived from the internal voltage regulator. No static load is allowed on these pins. The internal voltage regulator is turned off, if VDDR/VREGEN is tied to ground.
1.2.4.7
PHY_VDDTX, PHY_VSSTX -- Power Supply Pins for EPHY Transmitter
External power is supplied to the Ethernet physical transceiver (EPHY) transmitter through PHY_VDDTX and PHY_VSSTX. This 2.5 V supply is derived from the internal voltage regulator. No static load is allowed on these pins. The internal voltage regulator is turned off, if VDDR/VREGEN is tied to ground.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 83
Chapter 1 Device Overview
1.2.4.8
VRH, VRL -- ATD Reference Voltage Input Pins
VRH and VRL are the reference voltage input pins for the analog-to-digital converter.
1.2.4.9
VDDPLL, VSSPLL -- Power Supply Pins for PLL
Provides operating voltage and ground for the oscillator and the phase-locked loop. This allows the supply voltage to the oscillator and PLL to be bypassed independently. This 2.5 V voltage is generated by the internal voltage regulator. The internal voltage regulator is turned off, if VDDR/VREGEN is tied to ground.
Table 1-5. MC9S12NE64 Power and Ground Connection Summary Mnemonic
VDDR/VREGEN VDDX1 VDDX2 VSSX1 VSSX2 VDDA VSSA
Nominal Voltage
3.3 V 3.3 V
Description
External power and ground, supply to internal voltage regulator. To disable voltage regulator attach VREGEN to VSSX.
External power and ground, supply to pin drivers. 0V 3.3 V 0V 3.3 V 0V 2.5 V Operating voltage and ground for the analog-to-digital converter, the reference for the internal voltage regulator and the digital-to-analog converters, allows the supply voltage to the A/D to be bypassed independently. Reference voltage high for the analog-to-digital converter. Reference voltage low for the analog-to-digital converter. Internal power and ground generated by internal regulator for internal Ethernet Physical Transceiver (EPHY). These also allow an external source to supply the EPHY voltages and bypass the internal voltage regulator.
VRH VRL PHY_VDDTX PHY_VDDRX PHY_VDDA PHY_VSSTX PHY_VSSRX PHY_VSSA VDD1 VDD2 VSS1 VSS2 VDDPLL VSSPLL
0V 2.5 V 0V 2.5 V 0V
Internal power and ground generated by internal regulator. These also allow an external source to supply the core VDD/VSS voltages and bypass the internal voltage regulator. Provide operating voltage and ground for the phase-locked loop. This allows the supply voltage to the PLL to be bypassed independently. Internal power and ground generated by internal regulator.
NOTE All VSS pins must be connected together in the application. Because fast signal transitions place high, short-duration current demands on the power supply, use bypass capacitors with high-frequency characteristics and place them as near to the MCU as possible. Bypass requirements depend on MCU pin load.
MC9S12NE64 Data Sheet, Rev 1.0 84 Freescale Semiconductor
System Clock Description
1.3
System Clock Description
The clock and reset generator provides the internal clock signals for the core and all peripheral modules. Figure 1-5 shows the clock connections from the CRG to all modules. See the CRG block description chapter for details on clock generation.
S12_CORE core clock
FLASH RAM TIM ATD EXTAL PIM SCI CRG bus clock oscillator clock XTAL SPI IIC EMAC
EPHY
VREG_PHY
Figure 1-5. Clock Connections
1.4
Modes of Operation
There are eight possible modes of operation available on the MC9S12NE64. Each mode has an associated default memory map and external bus configuration.
1.4.1
Chip Configuration Summary
The operating mode out of reset is determined by the states of the MODC, MODB, and MODA pins during reset. The MODC, MODB, and MODA bits in the MODE register show the current operating mode and provide limited mode switching during operation. The states of the MODC, MODB, and MODA pins are latched into these bits on the rising edge of the RESET signal. The ROMCTL signal allows the setting of the ROMON bit in the MISC register thus controlling whether the internal FLASH is visible in the memory map. ROMON = 1 means the FLASH is visible in the memory map. The state of the ROMCTL pin is latched into the ROMON bit in the MISC register on the rising edge of the RESET signal.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 85
Chapter 1 Device Overview
Table 1-6. Mode Selection BKGD = MODC
0
PE6 = MODB
0
PE5 = MODA
0
PP6 = ROMCTL
X 0 1 X 0 1 X 0 1 X 0 1
ROMON Bit
1 1 0 0 1 0 1 0 1 1 0 1
Mode Description
Special Single Chip, BDM allowed and ACTIVE. BDM is allowed in all other modes but a serial command is required to make BDM active. Emulation Expanded Narrow, BDM allowed. Special Test (Expanded Wide), BDM allowed. Emulation Expanded Wide, BDM allowed. Normal Single Chip, BDM allowed. Normal Expanded Narrow, BDM allowed. Peripheral; BDM allowed but bus operations would cause bus conflicts (must not be used). Normal Expanded Wide, BDM allowed.
0 0 0 1 1 1 1
0 1 1 0 0 1 1
1 0 1 0 1 0 1
For further explanation on the modes, see the MEBI block description chapter.
1.4.2
Security
The MC9S12NE64 provides a security feature that prevents the unauthorized read and write of the memory contents1. This feature allows: * Protection of the contents of FLASH * Operation in single-chip mode * Operation from external memory with internal FLASH disabled On-chip security can be compromised by user code. An extreme example would be user code that dumps the contents of the internal program. This code would defeat the purpose of security. At the same time the user may also wish to put a back door in the user program. An example of this would be the user downloading a key through the SCI, which would allow access to a programming routine that could update parameters.
1.4.2.1
Securing the Microcontroller
After the user has programmed the FLASH, the MCU can be secured by programming the security bits located in the FLASH module. These nonvolatile bits will keep the MCU secured through resetting the MCU and through powering down the MCU. The security byte resides in a portion of the FLASH array. See the FLASH block description chapter for more details on the security configuration.
1.No security feature is absolutely secure. However, Freescale Semiconductor's strategy is to make reading or copying the FLASH difficult for unauthorized users.
MC9S12NE64 Data Sheet, Rev 1.0 86 Freescale Semiconductor
Low-Power Modes
1.4.2.2
1.4.2.2.1
Operation of the Secured Microcontroller
Normal Single Chip Mode
This will be the most common usage of the secured MCU. Everything will appear the same as if the MCU were not secured, with the exception of BDM operation. The BDM operation will be blocked. 1.4.2.2.2 Executing from External Memory
The user may wish to execute from external memory with a secured microcontroller. This is accomplished by resetting directly into expanded mode. The internal FLASH will be disabled. BDM operations will be blocked.
1.4.2.3
Unsecuring the Microcontroller
In order to unsecure the microcontroller, the internal FLASH must be erased. This can be performed through an external program in expanded mode. After the user has erased the FLASH, the MCU can be reset into special single chip mode. This invokes a program that verifies the erasure of the internal FLASH. After this program completes, the user can erase and program the FLASH security bits to the unsecured state. This is generally performed through the BDM, but the user could also change to expanded mode (by writing the mode bits through the BDM) and jumping to an external program (again through BDM commands). Note that if the MCU goes through a reset before the security bits are reprogrammed to the unsecure state, the MCU will be secured again.
1.5
Low-Power Modes
The microcontroller features three main low-power modes. See the respective block description chapter for information on the module behavior in stop, pseudo stop, and wait mode. An important source of information about the clock system is the clock and reset generator (CRG) block description chapter.
1.5.1
Stop
Executing the CPU STOP instruction stops all clocks and the oscillator thus putting the chip in fully static mode. Wakeup from this mode can be performed via reset or external interrupts.
1.5.2
Pseudo Stop
This mode is entered by executing the CPU STOP instruction. In this mode, the oscillator stays running and the real-time interrupt (RTI) or watchdog (COP) sub module can stay active. Other peripherals are turned off. This mode consumes more current than the full stop mode, but the wakeup time from this mode is significantly shorter.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 87
Chapter 1 Device Overview
1.5.3
Wait
This mode is entered by executing the CPU WAI instruction. In this mode, the CPU will not execute instructions. The internal CPU signals (address and databus) will be fully static. All peripherals stay active. For further power consumption, the peripherals can individually turn off their local clocks.
1.5.4
Run
Although this is not a low-power mode, unused peripheral modules must not be enabled in order to save power.
1.6
Resets and Interrupts
See the exception processing section of the CPU12 reference manual for information on resets and interrupts. System resets can be generated through external control of the RESET pin, through the clock and reset generator module (CRG), or through the low-voltage reset (LVR) generator of the voltage regulator module. See the CRG and VREG_PHY block description sections for detailed information on reset generation.
1.6.1
Vectors
Table 1-7. Interrupt Vector Locations
Table 1-7 lists interrupt sources and vectors in default order of priority.
Vector No.
0
Vector Address
$FFFE, $FFFF
Vector Name
Vreset
Interrupt Source
External reset, power on reset or low voltage reset (see CRG flags register to determine reset source) Clock monitor fail reset COP failure reset Unimplemented instruction trap SWI XIRQ IRQ Real-time interrupt
CCR Mask
None
Local Enable
HPRIO Value to Elevate
--
None
1 2 3 4 5 6 7
$FFFC, $FFFD $FFFA, $FFFB $FFF8, $FFF9 $FFF6, $FFF7 $FFF4, $FFF5 $FFF2, $FFF3 $FFF0, $FFF1
Vclkmon Vcop Vtrap Vswi Vxirq Virq Vrti
None None None None X-Bit I-Bit I-Bit Reserved
COPCTL (CME, FCME) COP rate select None None None INTCR (IRQEN) CRGINT (RTIE)
-- -- -- -- -- $F2 $F0
8 through $FFE8 to $FFEF 11 12 13 14 15 $FFE6, $FFE7 $FFE4, $FFE5 $FFE2, $FFE3 $FFE0, $FFE1 Vtimch4 Vtimch5 Vtimch6 Vtimch7 Standard timer channel 4 Standard timer channel 5 Standard timer channel 6 Standard timer channel 7
I-Bit I-Bit I-Bit I-Bit
T0IE (T0C4I) T0IE (T0C5I) T0IE (T0C6I) T0IE (T0C7I)
$E6 $E4 $E2 $E0
MC9S12NE64 Data Sheet, Rev 1.0 88 Freescale Semiconductor
Resets and Interrupts
Table 1-7. Interrupt Vector Locations (Continued) Vector No.
16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
Vector Address
$FFDE, $FFDF $FFDC, $FFDD $FFDA, $FFDB $FFD8, $FFD9 $FFD6, $FFD7 $FFD4, $FFD5 $FFD2, $FFD3 $FFD0, $FFD1 $FFCE, $FFCF $FFCC, $FFCD $FFCA, $FFCB $FFC8, $FFC9 $FFC6, $FFC7 $FFC4, $FFC5 $FFC2, $FFC3 $FFC0, $FFC1
Vector Name
Vtimovf Vtimpaovf Vtimpaie Vspi Vsci0 Vsci1 Vatd
Interrupt Source
Standard timer overflow Pulse accumulator overflow Pulse accumulator input edge SPI SCI0 SCI1 ATD
CCR Mask
I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit Reserved
Local Enable
T0MSK2 (T0OI) PACTL0 (PAOVI0) PACTL0 (PAI0) SPCR1 (SPIE, SPTIE) SC0CR2 (TIE, TCIE, RIE, ILIE) SC1CR2 (TIE, TCIE, RIE, ILIE) ATDCTL2 (ASCIE)
HPRIO Value to Elevate
$DE $DC $DA $D8 $D6 $D4 $D2
Vportj Vporth Vportg
Port J Port H Port G
I-Bit I-Bit I-Bit Reserved
PTJIF (PTJIE) PTHIF (PTHIE) PTGIF (PTGIE)
$CE $CC $CA
Vcrgplllck Vcrgscm
CRG PLL lock CRG self clock mode
I-Bit I-Bit Reserved
PLLCR (LOCKIE) PLLCR (SCMIE)
$C6 $C4
Viic
IIC bus
I-Bit Reserved
IBCR (IBIE)
$C0
32 through $FFBA to $FFBF 34 35 36 37 38 39 40 41 42 43 44 45 46 47 $FFB8, $FFB9 $FFB6, $FFB7 $FFB4, $FFB5 $FFB2, $FFB3 $FFB0, $FFB1 $FFAE, $FFAF $FFAC, $FFAD $FFAA, $FFAB $FFA8, $FFA9 $FFA6, $FFA7 $FFA4, $FFA5 $FFA2, $FFA3 $FFA0, $FFA1 Vflash Vephy FLASH EPHY interrupt
I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit I-Bit Reserved
FCNFG (CCIE, CBEIE) EPHYCTL0 (EPHYIE) IMASK (RXACIE) IMASK (RXBCIE) IMASK (TXCIE) IMASK (RFCIE) IMASK (MMCIE) IMASK (RXAIE) IMASK (RXAOIE) IMASK (RXBOIE) IMASK (BREIE) IMASK (LCIE) IMASK (ECIE)
$B8 $B6 $B4 $B2 $B0 $AE $AC $AA $A8 $A6 $A4 $A2 $A0
Vemacrxbac EMAC receive buffer A complete Vemacrxbbc EMAC receive buffer B complete Vemactxc Vemacrxfc Vemacmii Vemacrxerr Vemacrxbao Vemacrxbbo Vemacbrxerr Vemaclc Vemacec EMAC frame transmission complete EMAC receive flow control EMAC MII management transfer complete EMAC receive error EMAC receive buffer A overrun EMAC receive buffer B overrun EMAC babbling receive error EMAC late collision EMAC excessive collision
48 through $FF80 to $FF9F 63
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 89
Chapter 1 Device Overview
1.6.2
Resets
Resets are a subset of the interrupts featured inTable 1-7. The different sources capable of generating a system reset are summarized in Table 1-8.
1.6.2.1
Reset Summary Table
Table 1-8. Reset Summary Reset
Power-on reset External reset Low-voltage reset Clock monitor reset COP watchdog reset
Priority
1 1 1 2 3
Source
CRG module RESET pin VREG_PHY module CRG module CRG module
Vector
$FFFE, $FFFF $FFFE, $FFFF $FFFE, $FFFF $FFFC, $FFFD $FFFA, $FFFB
1.6.2.2
Effects of Reset
When a reset occurs, MCU registers and control bits are changed to known start-up states. See the respective module block description chapter for register reset states. See the MEBI block description chapter for mode-dependent pin configuration of port A, B, E, and K out of reset. See the PIM block description chapter for reset configurations of all peripheral module ports. See Table 1-1 for locations of the memories depending on the operating mode after reset. The RAM array is not automatically initialized out of reset.
1.7
Block Configuration for MC9S12NE64
This section contains information regarding how the modules are implemented on the MC9S12NE64 device.
1.7.1
VDDR/VREGEN
On the MC9S12NE64, the VDDR/VREGEN pin is used to enable or disable the internal voltage 3.3V to 2.5V regulator. If this pin is tied low, then VDD1, VDD2, VDDPLL, PHY_VDDRX, PHY_VDDTX, and PHY_VDDA must be supplied externally.
1.7.2
VDD1, VDD2, VSS1, VSS2
In both the 112-pin LQFP and the 80-pin TQFP-EP package versions, both internal VDD and VSS of the 2.5 V domain are bonded out on two sides of the device as two pin pairs (VDD1/VSS1 and VDD2/VSS2). VDD1 and VDD2 are connected together internally. VSS1 and VSS2 are connected together internally. This allows systems to employ better supply routing and further decoupling.
MC9S12NE64 Data Sheet, Rev 1.0 90 Freescale Semiconductor
Block Configuration for MC9S12NE64
1.7.3
Clock Reset Generator (CRG) Block Description
See the CRG block description chapter for information about the clock and reset generator module. The CRG is part of the IPBus domain. For the MC9S12NE64, only the Pierce circuitry is available for the oscillator. The low-voltage reset feature uses the low-voltage reset signal from the VREG_PHY module as an input to the CRG module. When the regulator output voltage supply to the internal chip logic falls below a specified threshold, the LVR signal from the VREG_PHY module causes the CRG module to generate a reset. See the VREG_PHY block description chapter for voltage level specifications.
1.7.3.1
XCLKS
The XCLKS input signal is not available on the MC9S12NE64. The signal is internally tied low to select the Pierce oscillator or external clock configuration.
1.7.4
Ethernet Media Access Controller (EMAC)
See the EMAC block description chapter for information about the Ethernet media access controller module. The EMAC is part of the IPBus domain.
1.7.4.1
EMAC MII External Pin Configuration
When the EMAC is configured for and external Ethernet physical transceiver internal pull-ups and pull-downs are not automatically configured on the MII inputs. Any internal pull-up or pull-down resistors, which may be required, must be configured by setting the appropriate pull control registers in the port integration module (PIM). This implementation allows the use of external pull-up and pull-down resistors.
1.7.4.2
EMAC Internal PHY Configuration
When the EXTPHY bit (in the EMAC NETCTL register) is set to 1, the EMAC is configured to work with the internal EPHY block. Please see 1.7.5, "Ethernet Physical Transceiver (EPHY)," for more information regarding the EPHY block.
1.7.4.3
Low-Power Operation
Special care must be taken when executing STOP and WAIT instructions while using the EMAC, or undesired operation may result. 1.7.4.3.1 Wait
Transmit and receive operations are not possible in wait mode if the CWAI bit is set in the CLKSEL register because the clocks to the transmit and receive buffers are stopped. It is recommended that the EMAC ESWAI bit be set if wait mode is entered with the CWAI set.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 91
Chapter 1 Device Overview
1.7.4.3.2
Stop
During system low-power stop mode, the EMAC is immediately disabled. Any receive in progress is dropped and any PAUSE time-out is cleared. The user must not to enter low-power stop mode when TXACT or BUSY are set.
1.7.5
Ethernet Physical Transceiver (EPHY)
See the EPHY block description chapter for information about the Ethernet physical transceiver module. The EPHY is part of the IPBus domain. The EPHY also has MII register space which is not part of the MCU address space and not accessible via the IP bus. The MII registers can be accessed using the MDIO functions of the EMAC when the EMAC is configured for internal PHY operation. The MII pins of the EPHY are not externally accessible. All communication and management of the EPHY must be performed using the EMAC. The organization unique identifier (OUI) for the MC9S12NE64 is 00-60-11 (hex).
1.7.5.1
Low-Power Operation
Special care must be taken when executing STOP and WAIT instructions while using the EPHY or undesired operation may result. 1.7.5.1.1 Wait
Transmit and receive operations are not possible in wait mode if the CWAI bit is set in the CLKSEL register because the clocks to the internal MII interface are stopped. 1.7.5.1.2 Stop
During system low-power stop mode, the EPHY is immediately reset and powered down. Upon exiting stop mode, the a start-up delay is required prior to initiating MDIO communications with the EPHY. See A.14, "EPHY Electrical Characteristics" for details. It is not possible to use an EPHY interrupt to wake the system from stop mode.
1.7.6
RAM 8K Block Description
This module supports single-cycle misaligned word accesses without wait states. The RAM is part of the HCS12 Bus domain. In addition to operating as the CPU storage, the 8K system RAM also functions as the Ethernet buffer while the EMAC module is enabled. While the EMAC is enabled, the Ethernet buffer will occupy 0.375K to 4.5K of RAM with physical addresses starting at $0000 and ending at $017F up to $11FF, depending on the setting of the BUFMAP bits in the EMAC Ethernet buffer configuration register (BUFCFG). The relative RAM address, which are controlled by settings in the internal RAM position register (INTRM), must be tracked in software.
MC9S12NE64 Data Sheet, Rev 1.0 92 Freescale Semiconductor
Block Configuration for MC9S12NE64
The Ethernet buffer operation of the RAM is independent of the CPU and allows same cycle read/write access from the CPU and the EMAC. No hardware blocking mechanism is implemented to prevent the CPU from accessing the Ethernet RAM space, so care must be taken to ensure that the CPU does not corrupt the RAM Ethernet contents.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 93
Chapter 1 Device Overview
MC9S12NE64 Data Sheet, Rev 1.0 94 Freescale Semiconductor
Chapter 2 64K Byte Flash (FTS64K) Block Description
2.1 Introduction
This document describes the FTS64K module which is a 64K byte Flash (nonvolatile) memory. The Flash array is organized as 1 block of 64K bytes organized as 1024 rows of 64 bytes. The Flash block's erase sector size is 8 rows (512 bytes). The Flash memory may be read as either bytes, aligned words or misaligned words. Read access time is one bus cycle for byte and aligned word, and two bus cycles for misaligned words. Program and erase functions are controlled by a command driven interface. Both sector erase and mass erase of the entire 64K byte Flash block are supported. An erased bit reads 1 and a programmed bit reads 0. The high voltage required to program and erase is generated internally by on-chip charge pumps. It is not possible to read from the Flash block while it is being erased or programmed. The Flash block is ideal for program and data storage for single-supply applications allowing for field reprogramming without requiring external programming voltage sources. NOTE A word must be erased before being programmed. Cumulative programming of bits within a word is not allowed.
2.1.1
Glossary
Command Sequence -- A three-step MCU instruction sequence to program, erase, or erase verify a Flash block.
2.1.2
* * * * * * * *
Features
64K bytes of Flash memory Automated program and erase algorithm Interrupts on Flash command completion and command buffer empty Fast sector erase and word program operation 2-stage command pipeline Flexible protection scheme for protection against accidental program or erase Single power supply program and erase Security feature
2.1.3
*
Modes of Operation
Program and erase operation (please refer to Section 2.4.1, "Program and Erase Operation," for details).
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
95
Chapter 2 64K Byte Flash (FTS64K) Block Description
2.1.4
Block Diagram
Figure 2-1 shows a block diagram of the FTS64K module.
FTS64K
Command Interface
Command Complete Interrupt Command Buffer Empty Interrupt Registers Command Pipeline
comm2 addr2 data2 comm1 addr1 data1
Flash Array 32K * 16 Bits
row0 row1
row1023 Protection
Security
Oscillator Clock
Clock Divider FCLK
Figure 2-1. Module Block Diagram
2.2
External Signal Description
The FTS64K module contains no signals that connect off-chip.
2.3
Memory Map and Register Descriptions
This section describes the FTS64K memory maps and registers.
MC9S12NE64 Data Sheet, Rev 1.0 96 Freescale Semiconductor
Memory Map and Register Descriptions
2.3.1
Module Memory Map
Figure 2-2 shows the FTS64K memory map. The HCS12 architecture places the Flash memory address between $4000 and $FFFF, which corresponds to three 16-Kbyte pages. The content of the HCS12 core PPAGE register is used to map the logical middle page ranging from address $8000 to $BFFF to any physical 16K byte page in the physical memory1. Shown within the pages are the Flash protection/options field, described in Table 2-1, and user defined Flash protected sectors, described in Table 2-2. The FPOPEN bit in the FPROT register (see Section 2.3.2.5, "Flash Protection Register (FPROT)") can globally protect the entire Flash array. However, two protected areas, one starting from the Flash page $3E starting address (called lower) towards higher addresses and the other one growing downward from the Flash page $3F end address (called higher) can be activated. The latter is mainly targeted to hold the boot loader code because it covers the vector space. All the other areas may be used to keep critical parameters. Security information that allows the MCU to prevent intrusive access to the Flash module is stored in the Flash protection/options field described in Table 2-1.
Table 2-1. Flash Protection/Options Field
Array Address $FF00 - $FF07 $FF08 - $FF0C $FF0D Size (bytes) 8 5 1 Description Backdoor comparison keys Reserved Flash protection byte Refer to Section 2.3.2.5, "Flash Protection Register (FPROT)" Reserved Flash Options/Security byte Refer to Section 2.3.2.2, "Flash Security Register (FSEC)"
$FF0E $FF0F
1 1
1.By placing $3F/$3E in the HCS12 Core PPAGE register, the bottom /top "fixed" 16-Kbyte pages can be seen twice in the MCU memory map.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 97
Chapter 2 64K Byte Flash (FTS64K) Block Description (16 bytes)
REGISTER BASE + $100
Flash Registers
REGISTER BASE + $10F FLASHSTART = $4000 $4200 $4400 $4800
Flash Protected Low Sectors 0.5K, 1K, 2K, 4K bytes
$5000
$3E
12K
$8000
16K PAGED MEMORY $3C $3C $3E $3F
$C000
$E000
$3F
Flash Protected High Sectors 2K, 4K, 8K, 16K bytes
$F000 $F800 FLASH END = $FFFF
$FF00 - $FF0F, Flash Protection/Security Field
Note: $3C-$3F correspond to the PPAGE register content
Figure 2-2. Flash Memory Map
MC9S12NE64 Data Sheet, Rev 1.0 98 Freescale Semiconductor
Memory Map and Register Descriptions
Table 2-2. Flash Module Memory Map Summary
MCU Address Range $4000-$7FFF PPAGE Unpaged ($3E) Protectable Low Protectable High Range Range $4000-$41FF $4000-$43FF $4000-$47FF $4000-$4FFF $8000-$BFFF $3C $3D $3E N.A. N.A. $8000-$81FF $8000-$83FF $8000-$87FF $8000-$8FFF $3F N.A. $B800-$BFFF $B000-$BFFF $A000-$BFFF $8000-$BFFF $C000-$FFFF Unpaged ($3F) N.A. $F800-$FFFF $F000-$FFFF $E000-$FFFF $C000-$FFFF
1
Block Relative Address1 $8000-$BFFF
N.A.
N.A. N.A. N.A.
$0000-$3FFF $4000-$7FFF $8000-$BFFF
$C000-$FFFF
$C000-$FFFF
Inside Flash block.
The Flash module also contains a set of 16 control and status registers located in address space register base + $100 to register base + $10F. A summary of these registers is given in Table 2-3.
Table 2-3. Flash Module Memory Map
Address Offset $_00 $_03 $_02 $_03 $_04 $_05 $_06 $_07 $_08 $_09 $_0A $_0B $_0C $_0D $_0E $_0F
1Intended
Use Flash Clock Divider Register (FCLKDIV) Flash Security Register (FSEC) Reserved11 Flash Configuration Register (FCNFG) Flash Protection Register (FPROT) Flash Status Register (FSTAT) Flash Command Register (FCMD) Reserved21 Flash High Address Register (FADDRHI) Flash Low Address Register (FADDRLO) Flash High Data Register (FDATAHI) Flash Low Data Register (FDATALO) Reserved31 Reserved41 Reserved51 Reserved61
Access R/W R R R/W R/W R/W R/W R R/W R/W R/W R/W R R R R
for factory test purposes only.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 99
Chapter 2 64K Byte Flash (FTS64K) Block Description
NOTE Register address = register base address +$100 + address offset, where the register base address is defined by the HCS12 core INITRG register and the address offset is defined by the Flash module.
2.3.2
2.3.2.1
Register Descriptions
Flash Clock Divider Register (FCLKDIV)
The FCLKDIV register is used to control timed events in program and erase algorithms.
Module Base + $0
7 R W RESET: 0 FDIVLD 6 PRDIV8 0 5 FDIV5 0 4 FDIV4 0 3 FDIV3 0 2 FDIV2 0 1 FDIV1 0 0 FDIV0 0
= Unimplemented or Reserved
Figure 2-3. Flash Clock Divider Register (FCLKDIV)
All bits in the FCLKDIV register are readable, bits 6-0 are write once and bit 7 is not writable. FDIVLD -- Clock Divider Loaded. 1 = Register has been written to since the last reset. 0 = Register has not been written. PRDIV8 -- Enable Prescaler by 8. 1 = Enables a prescaler by 8, to divide the Flash module input oscillator clock before feeding into the CLKDIV divider. 0 = The input oscillator clock is directly fed into the FCLKDIV divider. FDIV[5:0] -- Clock Divider Bits. The combination of PRDIV8 and FDIV[5:0] effectively divides the Flash module input oscillator clock down to a frequency of 150 kHz - 200 kHz. The maximum divide ratio is 512. Please refer to Section 2.4.1.1, "Writing the FCLKDIV Register," for more information.
2.3.2.2
Flash Security Register (FSEC)
This FSEC register holds all bits associated with the security of the MCU.
MC9S12NE64 Data Sheet, Rev 1.0 100 Freescale Semiconductor
Memory Map and Register Descriptions
Module Base + $1
7 R W KEYEN F 6 NV6 F 5 NV5 F 4 NV4 F 3 NV3 F 2 NV2 F 1 SEC1 F 0 SEC0 F
Reset:
= Unimplemented or Reserved
Figure 2-4. Flash Security Register (FSEC)
All bits in the FSEC register are readable but not writable. The FSEC register is loaded from the Flash protection/options field byte at $FF0F during the reset sequence, indicated by "F" in Figure 2-4. KEYEN -- Backdoor Key Enable. 1 = Backdoor key access to Flash module is enabled. 0 = Backdoor key access to Flash module is disabled. NV[6:2] -- Nonvolatile Flag Bits. These 5 bits are available to the user as nonvolatile flags. SEC[1:0] -- Memory Security Bits. The SEC[1:0] bits define the security state of the MCU as shown in Table 2-4. If the Flash module is unsecured using the backdoor key access, the SEC bits are forced to "10".
Table 2-4. Flash Security States
SEC[1:0] 00 01 10 11 Description secured secured unsecured secured
The security function in the Flash module is described in Section 2.4.5, "Flash Security."
2.3.2.3
RESERVED1
This register is reserved for factory testing and is not accessible to the user.
Module Base + $2
7 R W 0 0 6 0 0 5 0 0 4 0 0 3 0 0 2 0 0 1 0 0 0 0 0
Reset:
= Unimplemented or Reserved
Figure 2-5. RESERVED1
All bits read 0 and are not writable.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 101
Chapter 2 64K Byte Flash (FTS64K) Block Description
2.3.2.4
Flash Configuration Register (FCNFG)
The FCNFG register enables the Flash interrupts and gates the security backdoor writes.
Module Base + $3
7 R W CBEIE 0 6 CCIE 0 5 KEYACC 0 4 0 0 3 0 0 2 0 0 1 0 0 0 0 0
Reset:
= Unimplemented or Reserved
Figure 2-6. Flash Configuration Register (FCNFG)
CBEIE, CCIE and KEYACC are readable and writable. Bits 4-0 read 0 and are not writable. CBEIE -- Command Buffer Empty Interrupt Enable. The CBEIE bit enables the interrupts in case of an empty command buffer in the Flash. 1 = An interrupt will be requested whenever the CBEIF flag, Figure 2-8, is set. 0 = Command buffer empty interrupt disabled. CCIE -- Command Complete Interrupt Enable. The CCIE bit enables the interrupts in case of all commands being completed in the Flash. 1 = An interrupt will be requested whenever the CCIF, Figure 2-8, flag is set. 0 = Command complete interrupt disabled. KEYACC -- Enable Security Key Writing. 1 = Writes to Flash array are interpreted as keys to open the backdoor. Reads of the Flash array return invalid data. 0 = Flash writes are interpreted as the start of a program or erase sequence.
2.3.2.5
Flash Protection Register (FPROT)
The FPROT register defines which Flash sectors are protected against program or erase.
Module Base + $4
7 R W Reset: FPOPEN F 6 NV6 F 5 FPHDIS F 4 FPHS1 F 3 FPHS0 F 2 FPLDIS F 1 FPLS1 F 0 FPLS0 F
= Unimplemented or Reserved
Figure 2-7. Flash Protection Register (FPROT)
The FPROT register is readable in normal and special modes. Bit NV6 is not writable in normal mode. FPOPEN, FPHDIS and FPLDIS bits in the FPROT register can only be written to the protected state (i.e. "0"). FPLS[1:0] can be written anytime until bit FPLDIS is cleared. FPHS[1:0] bits can be written anytime until bit FPHDIS is cleared. If the FPOPEN bit is cleared, then the state of the FPHDIS, FPHS[1:0], FPLDIS and FPLS[1:0] bits is irrelevant. The FPROT register is loaded from Flash address $FF0D during reset.
MC9S12NE64 Data Sheet, Rev 1.0 102 Freescale Semiconductor
Memory Map and Register Descriptions
To change the Flash protection that will be loaded on reset, the upper sector of the Flash block must be unprotected, then the Flash protection byte located as described in Table 2-1 must be written to. A protected Flash sector is disabled by the bits FPHDIS and FPLDIS while the size of the protected sector is defined by FPHS[1:0] and FPLS[1:0] in the FPROT register. Trying to alter any of the protected areas will result in a protect violation error and the PVIOL flag will be set in the FSTAT register. A mass erase of the whole Flash block is only possible when protection is fully disabled by setting the FPOPEN, FPLDIS, and FPHDIS bits. An attempt to mass erase the Flash block while protection is enabled will set the PVIOL flag in the FSTAT register. FPOPEN -- Opens the Flash block for program or erase. 1 = The Flash sectors not protected are enabled for program or erase. 0 = The whole Flash block is protected. In this case the FPHDIS, FPHS[1:0], FPLDIS and FPLS[1:0] bits within the protection register are don't care. FPHDIS -- Flash Protection Higher address range Disable. The FPHDIS bit determines whether there is a protected area in the higher space of the Flash address map. 1 = Protection disabled. 0 = Protection enabled. FPHS[1:0] -- Flash Protection Higher Address Size. The FPHS[1:0] bits determine the size of the protected sector. Refer to Table 2-5.
Table 2-5. Flash Higher Address Range Protection
Protected Address Range FPHS[1:0] UNPAGED 00 01 10 11 $F800-$FFFF $F000-$FFFF $E000-$FFFF $C000-$FFFF PPAGE $3F $B800-$BFFF $B000-$BFFF $A000-$BFFF $8000-$BFFF Protected Size (bytes) 2K 4K 8K 16K
FPLDIS -- Flash Protection Lower address range Disable. The FPLDIS bit determines whether there is a protected sector in the lower space of the Flash address map. 1 = Protection disabled. 0 = Protection enabled. FPLS[1:0] -- Flash Protection Lower Address Size. The FPLS[1:0] bits determine the size of the protected sector. Refer to Table 2-6.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 103
Chapter 2 64K Byte Flash (FTS64K) Block Description
Table 2-6. Flash Lower Address Range Protection
Protected Address Range FPLS[1:0] UNPAGED 00 01 10 11 $4000-$41FF $4000-$43FF $4000-$47FF $4000-$4FFF PPAGE $3E $8000-$81FF $8000-$83FF $8000-$87FF $8000-$8FFF Protected Size (bytes) 512 1K 2K 4K
NV6 -- Nonvolatile Flag Bit. This bit is available as a nonvolatile flag.
2.3.2.6
Flash Status Register (FSTAT)
The FSTAT register defines the Flash state machine command status and Flash array access, protection and erase verify status.
Module Base + $5
7 R W Reset: CBEIF 1 6 CCIF 1 5 PVIOL 0 4 ACCERR 0 3 0 0 2 BLANK 0 1 0 0 0 0 0
= Unimplemented or Reserved
Figure 2-8. Flash Status Register (FSTAT)
Register bits CBEIF, PVIOL and ACCERR are readable and writable, bits CCIF and BLANK are readable and not writable, bits 3, 1 and 0 read 0 and are not writable. CBEIF -- Command Buffer Empty Interrupt Flag. The CBEIF flag indicates that the address, data and command buffers are empty so that a new command sequence can be started. The CBEIF flag is cleared by writing a 1 to CBEIF. Writing a 0 to the CBEIF flag has no effect on CBEIF. Writing a 0 to CBEIF after writing an aligned word to the Flash address space but before CBEIF is cleared will abort a command sequence and cause the ACCERR flag in the FSTAT register to be set. Writing a 0 to CBEIF outside of a command sequence will not set the ACCERR flag. The CBEIF flag is used together with the CBEIE bit in the FCNFG register to generate an interrupt request (see also Figure 2-21). 1 = Buffers are ready to accept a new command. 0 = Buffers are full. CCIF -- Command Complete Interrupt Flag. The CCIF flag indicates that there are no more commands pending. The CCIF flag is cleared when CBEIF is clear and sets automatically upon completion of all active and pending commands. The CCIF flag does not set when an active commands completes and a pending command is fetched from the command buffer. Writing to the CCIF flag has no effect. The CCIF flag is used together with the CCIE bit in the FCNFG register to generate an interrupt request (see also Figure 2-21). 1 = All commands are completed. 0 = Command in progress.
MC9S12NE64 Data Sheet, Rev 1.0 104 Freescale Semiconductor
Memory Map and Register Descriptions
PVIOL -- Protection Violation. The PVIOL flag indicates an attempt was made to program or erase an address in a protected Flash memory area. The PVIOL flag is cleared by writing a 1 to PVIOL. Writing a 0 to the PVIOL flag has no effect on PVIOL. While PVIOL is set it is not possible to launch another command. 1 = A protection violation has occurred. 0 = No failure. ACCERR -- Flash Access Error. The ACCERR flag indicates an illegal access to the selected Flash array. This can be either a violation of the command sequence, issuing an illegal command (illegal combination of the CMDBx bits in the FCMD register) or the execution of a CPU STOP instruction while a command is executing (CCIF=0). The ACCERR flag is cleared by writing a 1 to ACCERR. Writing a 0 to the ACCERR flag has no effect on ACCERR. While ACCERR is set it is not possible to launch another command. 1 = Access error has occurred. 0 = No failure. BLANK -- Array has been verified as erased. The BLANK flag indicates that an erase verify command has checked the Flash block and found it to be erased. The BLANK flag is cleared by hardware when CBEIF is cleared as part of a new valid command sequence. Writing to the BLANK flag has no effect on BLANK. 1 = Flash block verifies as erased. 0 = If an erase verify command has been requested, and the CCIF flag is set, then a 0 in BLANK indicates the Flash block is not erased.
2.3.2.7
Flash Command Register (FCMD)
The FCMD register defines the Flash commands.
Module Base + $106
7 R W Reset: 0 0 6 CMDB6 0 5 CMDB5 0 4 0 0 3 0 0 2 CMDB2 0 1 0 0 0 CMDB0 0
= Unimplemented or Reserved
Figure 2-9. Flash Command Register (FCMD)
Bits 7, 4, 3, and 1 read "0" and are not writable. Bits CMDB6, CMDB5, CMDB2, and CMDB0 are readable and writable during a command sequence. CMDB -- Valid normal mode commands are shown in Table 2-7. Any commands other than those mentioned in Table 2-7 sets the ACCERR flag in the FSTAT register (See Section 2.3.2.6, "Flash Status Register (FSTAT)").
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 105
Chapter 2 64K Byte Flash (FTS64K) Block Description
Table 2-7. Flash Normal Mode Commands
Command $05 $20 $40 $41 Meaning Erase Verify Word Program Sector Erase Mass Erase
2.3.2.8
RESERVED2
This register is reserved for factory testing and is not accessible to the user.
Module Base + $7
7 R W 6 5 4 3 2 1 0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
Reset:
= Unimplemented or Reserved
Figure 2-10. RESERVED2
All bits read 0 and are not writable.
2.3.2.9
Flash Address Register (FADDR)
FADDRHI and FADDRLO are the Flash address registers.
Module Base + $108
7 R W Reset: 0 0 0 0 0 6 5 4 3 FABHI 0 0 0 0 2 1 0
= Unimplemented or Reserved
Figure 2-11. Flash Address High Register (FADDRHI) Module Base + $109
7 R W Reset: 0 0 0 0 6 5 4 FABLO 0 0 0 0 3 2 1 0
Figure 2-12. Flash Address Low Register (FADDRLO)
In normal modes, all FADDRHI and FADDRLO bits read 0 and are not writable. The FADDRHI and FADDRLO registers can be written in special modes by writing to address register base + $108 and register base + $109 in the register space. For sector erase, the MCU address bits AB[8:0] are ignored.
MC9S12NE64 Data Sheet, Rev 1.0 106 Freescale Semiconductor
Memory Map and Register Descriptions
For mass erase, any address within the Flash block is valid to start the command.
2.3.2.10
Flash Data Register (FDATA)
FDATAHI and FDATALO are the Flash data registers.
Module Base + $A
7 R W Reset: 0 0 0 0 6 5 4 FDHI 0 0 0 0 3 2 1 0
Figure 2-13. Flash Data High Register (FDATAHI) Module Base + $B
7 R W Reset: 0 0 0 0 6 5 4 FDLO 0 0 0 0 3 2 1 0
Figure 2-14. Flash Data Low Register (FDATALO)
In normal modes, all FDATAHI and FDATALO bits read 0 and are not writable. In special modes, all FDATAHI and FDATALO bits are readable and writable.
2.3.2.11
RESERVED3
This register is rREGISTER BASE +eserved for factory testing and is not accessible to the user.
Module Base + $C
7 R W Reset: 0 0 0 0 0 0 0 0 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0
= Unimplemented or Reserved
Figure 2-15. RESERVED3
All bits read 0 and are not writable.
2.3.2.12
RESERVED4
This register is reserved for factory testing and is not accessible to the user.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 107
Chapter 2 64K Byte Flash (FTS64K) Block Description
Module Base + $D
7 R W Reset: 0 0 0 0 0 0 0 0 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0
= Unimplemented or Reserved
Figure 2-16. RESERVED4
All bits read 0 and are not writable.
2.3.2.13
RESERVED5
This register is reserved for factory testing and is not accessible to the user.
Module Base + $E
7 R W Reset: 0 0 0 0 0 0 0 0 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0
= Unimplemented or Reserved
Figure 2-17. RESERVED5
All bits read 0 and are not writable.
2.3.2.14
RESERVED6
This register is reserved for factory testing and is not accessible to the user.
Module Base + $F
7 R W Reset: 0 0 0 0 0 0 0 0 0 6 0 5 0 4 0 3 0 2 0 1 0 0 0
= Unimplemented or Reserved
Figure 2-18. RESERVED6
All bits read 0 and are not writable.
MC9S12NE64 Data Sheet, Rev 1.0 108 Freescale Semiconductor
Functional Description
2.4
2.4.1
Functional Description
Program and Erase Operation
Write and read operations are both used for the program and erase algorithms described in this section. These algorithms are controlled by a state machine whose timebase FCLK is derived from the oscillator clock via a programmable divider. The command register as well as the associated address and data registers operate as a buffer and a register (2-stage FIFO) so that a new command along with the necessary data and address can be stored to the buffer while the previous command is still in progress. This pipelined operation allows a time optimization when programming more than one word on a specific row, as the high voltage generation can be kept on between two programming commands. The pipelined operation also allows a simplification of command launching. Buffer empty as well as command completion are signalled by flags in the FSTAT register. Interrupts for the Flash module will be generated if enabled. The next four subsections describe: * How to write the FCLKDIV register * The write sequences used to program, erase and erase verify the Flash block * Valid Flash commands * Errors resulting from illegal Flash operations
2.4.1.1
Writing the FCLKDIV Register
Prior to issuing any program or erase command, it is first necessary to write the FCLKDIV register to divide the oscillator down to within the 150 kHz to 200 kHz range. The program and erase timings are also a function of the bus clock, such that the FCLKDIV determination must take this information into account. If we define: * FCLK as the clock of the Flash timing control block * tbus as the period of the bus clock * INT(x) as taking the integer part of x (e.g. INT(4.323)=4), then FCLKDIV register bits PRDIV8 and FDIV[5:0] are to be set as described in Figure 2-19. For example, if the oscillator clock frequency is 950 kHz and the bus clock is 10 MHz, FCLKDIV bits FDIV[5:0] should be set to 4 (000100) and bit PRDIV8 set to 0. The resulting FCLK is then 190 kHz. As a result, the Flash algorithm timings are increased over optimum target by: ( 200 - 190 ) 200 x 100 = 5% NOTE Command execution time will increase proportionally with the period of FCLK.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 109
Chapter 2 64K Byte Flash (FTS64K) Block Description
CAUTION Because of the impact of clock synchronization on the accuracy of the functional timings, programming or erasing the Flash cannot be performed if the bus clock runs at less than 1 MHz. Programming or erasing the Flash with an input clock < 150 kHz should be avoided. Setting FCLKDIV to a value such that FCLK < 150 kHz can destroy the Flash due to overstress. Setting FCLKDIV to a value such that (1/FCLK+tbus) < 5 s can result in incomplete programming or erasure of the memory array cells. If the FCLKDIV register is written, the bit FDIVLD is set automatically. If this bit is 0, the register has not been written since the last reset. Flash commands will not be executed if this register has not been written.
MC9S12NE64 Data Sheet, Rev 1.0 110 Freescale Semiconductor
Functional Description
START
tbus < 1s? yes PRDIV8=0 (reset)
no
PROGRAM/ERASE IMPOSSIBLE
oscillator clock 12.8MHz? yes PRDIV8=1 PRDCLK=oscillator clock/8
no
PRDCLK=oscillator clock
PRDCLK[MHz]*(5+tbus[s]) an integer? yes
no
FDIV[5:0]=INT(PRDCLK[MHz]*(5+tbus[s]))
FDIV[5:0]=PRDCLK[MHz]*(5+tbus[s])-1
TRY TO DECREASE tbus
FCLK=(PRDCLK)/(1+FDIV[5:0])
1/FCLK[MHz] + tbus[s] > 5 AND FCLK > 0.15MHz ? no
yes
END
yes
FDIV[5:0] > 4?
no PROGRAM/ERASE IMPOSSIBLE
Figure 2-19. PRDIV8 and FDIV Bits Determination Procedure
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 111
Chapter 2 64K Byte Flash (FTS64K) Block Description
2.4.1.2
Program and Erase Sequences in Normal Mode
A command state machine is used to supervise the write sequencing for program and erase. The erase verify command follows the same flow. Before starting a command sequence, it is necessary to verify that there is no pending access error or protection violation (the ACCERR and PVIOL flags should be cleared in the FSTAT register). After this initialization step, the CBEIF flag should be tested to ensure that the address, data and command buffers are empty. If the CBEIF flag is set, the program/erase command write sequence can be started. The following 3-step command write sequence must be strictly adhered to and no intermediate writes to the Flash module are permitted between the steps. However, the user is allowed to read any Flash register during a command write sequence. The command write sequence is as follows: 1. Write the aligned data word to be programmed to the valid Flash address space. The address and data will be stored in internal buffers. For program, all address bits are valid. For erase, the value of the data bytes is ignored. For mass erase, the address can be anywhere in the array address space. For sector erase, the address bits[8:0] are ignored. 2. Write the program or erase command to the command buffer. These commands are listed in Table 2-8. 3. Clear the CBEIF flag by writing a 1 to it to launch the command. When the CBEIF flag is cleared, the CCIF flag is cleared by hardware indicating that the command was successfully launched. The CBEIF flag will be set again indicating the address, data and command buffers are ready for a new command sequence to begin. The completion of the command is indicated by the setting of the CCIF flag. The CCIF flag only sets when all active and pending commands have been completed. NOTE The command state machine will flag errors in program or erase write sequences by means of the ACCERR (access error) and PVIOL (protection violation) flags in the FSTAT register. An erroneous command write sequence will abort and set the appropriate flag. If set, the user must clear the ACCERR or PVIOL flags before commencing another command write sequence. By writing a 0 to the CBEIF flag, the command sequence can be aborted after the word write to the Flash address space or after writing a command to the FCMD register and before the command is launched. Writing a 0 to the CBEIF flag in this way will set the ACCERR flag. A summary of the program algorithm is shown in Figure 2-20. For the erase algorithm, the user writes either a mass erase or sector erase command to the FCMD register.
MC9S12NE64 Data Sheet, Rev 1.0 112 Freescale Semiconductor
Functional Description
Read: Register FCLKDIV
Clock Register Written Check
Bit FDIVLD set? yes
no
Write: Register FCLKDIV
1.
Write: Array Address and Program Data Write: Register FCMD Program Command $20 Write: Register FSTAT Clear bit CBEIF $80 Read: Register FSTAT NOTE: command sequence aborted by writing $00 to FSTAT register. NOTE: command sequence aborted by writing $00 to FSTAT register.
2.
3.
Protection Violation Check
Bit PVIOL Set? no
yes Write: Register FSTAT Clear bit PVIOL $20
Access Error Check
Bit ACCERR Set? no
yes Write: Register FSTAT Clear bit ACCERR $10 yes yes Next Write? no
Address, Data, Command Buffer Empty Check
Bit CBEIF Set? no
Bit Polling for Command Completion Check
Bit CCIF Set? yes EXIT
no
Read: Register FSTAT
Figure 2-20. Example Program Algorithm
2.4.1.3
Valid Flash Commands
Figure 2-19 summarizes the valid Flash commands. Also shown are the effects of the commands on the Flash array.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 113
Chapter 2 64K Byte Flash (FTS64K) Block Description
Table 2-8. Valid Flash Commands
FCMD $05 Meaning Erase Verify Program Sector Erase Mass Erase Function on Flash Array Verify all memory bytes of the Flash array are erased. If the array is erased, the BLANK bit will set in the FSTAT register upon command completion. Program a word (two bytes). Erase 256 words of Flash array. Erase all of the Flash array. A mass erase of the full array is only possible when FPLDIS, FPHDIS and FPOPEN are set.
$20 $40 $41
NOTE It is not permitted to program a Flash word without first erasing the sector in which that word resides.
2.4.1.4
Illegal Flash Operations
The ACCERR flag will be set during the command write sequence if any of the following illegal operations are performed causing the command write sequence to immediately abort: 1. Writing to the Flash address space before initializing FCLKDIV. 2. Writing a misaligned word or a byte to the valid Flash address space. 3. Writing to the Flash address space while CBEIF is not set. 4. Writing a second word to the Flash address space before executing a command on the previously written word. 5. Writing to any Flash register other than FCMD after writing a word to the Flash address space. 6. Writing a second command to the FCMD register before executing the previously written command. 7. Writing an invalid command to the FCMD register. 8. Writing to any Flash register other than FSTAT (to clear CBEIF) after writing to the FCMD register. 9. The part enters stop mode and a program or erase command is in progress. The command is aborted and any pending command is killed. 10. When security is enabled, a command other than mass erase originating from a non-secure memory or from the background debug mode is written to the FCMD register. 11. A 0 is written to the CBEIF bit in the FSTAT register. The ACCERR flag will not be set if any Flash register is read during the command sequence. If the Flash array is read during execution of an algorithm (i.e. CCIF bit in the FSTAT register is low) the read will return invalid data and the ACCERR flag will not be set. If an ACCERR flag is set in the FSTAT register the command state machine is locked. It is not possible to launch another command until the ACCERR flag is cleared.
MC9S12NE64 Data Sheet, Rev 1.0 114 Freescale Semiconductor
Functional Description
The PVIOL flag will be set during the command write sequence after the word write to the Flash address space if any of the following illegal operations are performed, causing the command sequence to immediately abort: 1. Writing a Flash address to program in a protected area of the Flash array. 2. Writing a Flash address to erase in a protected area of the Flash array. 3. Writing the mass erase command to the FCMD register while any protection is enabled. See Protection register description in Section 2.3.2.5, "Flash Protection Register (FPROT)." If the PVIOL flag is set in the FSTAT register, the command state machine is locked. It is not possible to launch another command until the PVIOL flag is cleared.
2.4.2
Wait Mode
If a command is active (CCIF = 0) when the MCU enters the wait mode, that command and any pending command will be completed. The FTS64K module can recover the MCU from wait if the interrupts are enabled (see Section 2.6, "Interrupts").
2.4.3
Stop Mode
If a command is active (CCIF = 0) when the MCU enters the STOP mode, the command will be aborted, and the data being programmed or erased is lost. The high voltage circuitry to the flash will be switched off when entering stop mode. CCIF and ACCERR flags will be set. Upon exit from STOP, the CBEIF flag is set and any pending command will not be executed. The ACCERR flag must be cleared before returning to normal operation. CAUTION Because active commands are immediately aborted when the MCU enters stop mode, it is strongly recommended that the user does not use the STOP command during program and erase execution.
2.4.4
Background Debug Mode
In background debug mode (BDM), the FPROT register is writable. If the MCU is unsecured, then all Flash commands listed in Table 2-8 can be executed. If the MCU is secured and is in special single chip mode, the only possible command to execute is mass erase.
2.4.5
Flash Security
The Flash module provides the necessary security information to the MCU. After each reset, the Flash module determines the security state of the MCU as defined in Section 2.3.2.2, "Flash Security Register (FSEC)." The contents of the Flash protection/options byte at $FF0F in the Flash protection/options field must be changed directly by programming $FF0F when the device is unsecured and the higher address sector is
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 115
Chapter 2 64K Byte Flash (FTS64K) Block Description
unprotected. If the Flash options/security byte is left in the secure state, any reset will cause the MCU to return to the secure operating mode
2.4.5.1
Unsecuring the Flash via the Backdoor Access Sequence
The MCU may only be unsecured by using the backdoor access sequence which requires knowledge of the contents of the backdoor key, four 16-bit words programmed in the Flash block at addresses $FF00 $FF07. With the KEYEN and KEYACC bits set, a write to a backdoor key address triggers a comparison between the written data and the backdoor key data stored in the Flash. If all four words of data are written to the correct addresses in the correct order and the data matches the backdoor key stored in the Flash block, the MCU will be unsecured. The data must be written sequentially starting with $FF00-1 and ending with $FF06-7.When the KEYACC bit is set, reads of the Flash block will return invalid data. The user code stored in the Flash block must have a method of receiving the backdoor key from an external stimulus. This external stimulus would typically be through one of the on-chip serial ports. If the KEYEN bit is set in the FCNFG register, the MCU can be unsecured by the following backdoor access sequence: 1. Set the KEYACC bit in the FCNFG register. 2. Write the correct four 16-bit word backdoor key to Flash addresses $FF00 - $FF07 sequentially starting with $FF00. 3. Clear the KEYACC bit. 4. If all four 16-bit words match the backdoor key stored in Flash addresses $FF00 - $FF07, the MCU is unsecured and bits SEC[1:0] in the FSEC register are forced to the unsecure state of "10". If any of the four 16-bit words does not match the backdoor key programmed in the Flash block, the MCU remains secured. After the backdoor key has been correctly matched, the MCU will be unsecured. The Flash security byte can then be programmed to the unsecure state, if desired. In the unsecured state, the user has full control of the contents of the backdoor key by programming it in bytes $FF00 - $FF07 of the Flash protection/options field. The security of the Flash module as defined in the Flash Options/security byte ($FF0F) is not changed by unsecuring the Flash module using the backdoor access sequence. The backdoor key stored in $FF00 $FF07 is unaffected by the backdoor access sequence. After the next reset sequence, the security state of the Flash module is determined by the Flash options/security byte ($FF0F). The backdoor access sequence has no effect on the program and erase protections defined in the FPROT register. It is not possible to unsecure the MCU in special single chip mode by the backdoor access sequence via the background debug mode.
2.5
Resets
If a reset occurs while any command is in progress that command will be immediately aborted. The state of the word being programmed or the sector/block being erased is not guaranteed.
MC9S12NE64 Data Sheet, Rev 1.0 116 Freescale Semiconductor
Interrupts
2.6
Interrupts
The FTS64K module can generate an interrupt when all Flash commands are completed or the address, data and command buffers are empty.
Table 2-9. Flash Interrupt Sources
Interrupt Source Flash address, data and command buffers empty All commands are completed on Flash Interrupt Flag CBEIF (FSTAT register) CCIF (FSTAT register) Local Enable CBEIE CCIE Global (CCR) Mask I Bit I Bit
NOTE Vector addresses and their relative interrupt priority are determined at the MCU level. Figure 2-21 shows the logic used for generating interrupts. This system uses the CBEIF and CCIF flags in combination with the enable bits CBIE and CCIE to discriminate for the interrupt generation.
CBEIF CBEIE
Flash Interrupt Request
CCIF CCIE
Figure 2-21. Flash Interrupt Implementation
For a detailed description of the register bits refer to the Flash configuration register and Flash status register sections (respectively Section 2.3.2.4, "Flash Configuration Register (FCNFG)," and Section 2.3.2.6, "Flash Status Register (FSTAT)").
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 117
Chapter 2 64K Byte Flash (FTS64K) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 118 Freescale Semiconductor
Chapter 3 Port Integration Module (PIM) Block Description
3.1 Introduction
Figure 3-1 is a block diagram of the PIM_9NE64. The port integration module establishes the interface between the peripheral modules and the I/O pins for all ports. * This section covers: * port A, B, E, and K related to the core logic and multiplexed bus interface * port T connected to the timer module * port S associated with 2 SCI and 1 SPI modules * port G, H, and J connected to EMAC module, each of them also can be used as an external interrupt source. * port L connected to EPHY module Each I/O pin can be configured by several registers: Input/output selection, drive strength reduction, enable and select of pull resistors, interrupt enable and status flags. The implementation of the port integration module is device dependent.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 119
Chapter 3 Port Integration Module (PIM) Block Description
Port Integration Module
IIC
Port J
PJ0 PJ1 PJ2 PJ3 PG0 PG1 PG2 PG3 PG4 PG5 PG6 PG7 PH0 PH1 PH2 PH3 PH4 PH5 PH6
KWJ0 KWJ1 KWJ2 KWJ3 KWG0 KWG1 KWG2 KWG3 KWG4 KWG5 KWG6 KWG7 KWH0 KWH1 KWH2 KWH3 KWH4 KWH5 KWH6
MII_MDC MII_MDIO MII_CRS MII_COL MII_RXD0 MII_RXD1 MII_RXD2 MII_RXD3 MII_RXCLK MII_RXDV MII_RXER
MII
EMAC
Ethernet Media Access Controller
Port G
100Base-TX 10Base-T Physical Transceiver(EPHY) TIM_IOC4 TIM_IOC5 TIM_IOC6 TIM_IOC7 SCIO_RXD PT4 PT5 PT6 PT7 PS0 PS1 PS2 PS3 PS4 PS5 PS6 PS7 BKGD PE0 PE1 PE2 PE3 PE4 PE5 PE6 PE7 PK0 PK1 PK2 PK3 PK4 PK5 PK6 PK7
TIM
MII_TXD0 MII_TXD1 MII_TXD2 MII_TXD3 MII_TXCLK MII_TXEN MII_TXER
Port H
SCI0 SCIO_TXD SCI1 SCI1_TXD SPI
SPI_MISO SPI_MOSI SPI_SCK SPI_SS
PB0 PB1 PB2 PB3 PB4 PB5 PB6 PB7 PA0 PA1 PA2 PA3 PA4 PA5 PA6 PA7
ADDR0/DATA0 ADDR1/DATA1 ADDR2/DATA2 ADDR3/DATA3 ADDR4/DATA4 ADDR5/DATA5 ADDR6/DATA6 ADDR7/DATA7 ADDR8/DATA8 ADDR9/DATA9 ADDR10/DATA10 ADDR11/DATA11 ADDR12/DATA12 ADDR13/DATA13 ADDR14/DATA14 ADDR15/DATA15
BKGD/MODC/TAGHI XIRQ IRQ R/W LSTRB/TAGLO ECLK IPIPE0/MODA IPIPE1/MODB NOACC
Port B
CORE
XADDR14 XADDR15 XADDR16 XADDR17 XADRR18 XADDR19 XCS ECS/ROMCTL
Port A
Figure 3-1. PIM_9NE64 Block Diagram
3.1.1
Features
A standard port has the following minimum features: * Input/output selection
MC9S12NE64 Data Sheet, Rev 1.0 120 Freescale Semiconductor
Port K
Port E
Port S
SCI1_RXD
Port T
Port L
PJ6 PJ7
KWJ6 KWJ7
IIC_SDA IIC_SCL
ACTLED LNKLED SPDLED DUPLED COLLED
PL0 PL1 PL2 PL3 PL4 PL5 PL6
External Signal Description
* * *
3.3 V output drive with two selectable drive strength 3.3 V digital and analog input Input with selectable pull-up or pull-down device
Optional features: * Open drain for wired-or connections * Interrupt inputs with glitch filtering
3.2
External Signal Description
This section lists and describes the signals that connect off-chip. Table 3-1 shows all pins and their functions that are controlled by the PIM_9NE64 module. If there is more than one function associated to a pin, the priority is indicated by the position in the table from top (highest priority) to bottom (lowest priority).
Table 3-1. Pin Functions and Priorities (Sheet 1 of 4)
Port Port A Pin Name PA[7:0] Pin Function ADDR[15:8]/ DATA[15:8]/ GPIO ADDR[7:0]/ DATA[7:0]/ GPIO NOACC/ GPIO IPIPE1/ MODB/ GPIO IPIPE0/ MODA/ GPIO ECLK/GPIO LSTRB/ TAGLO/ GPIO R/W / GPIO IRQ/GPI XIRQ/GPI Refer the MEBI block description chapter. Description Pin Function after Reset
Refer the MEBI block description chapter.
Port B
PB[7:0]
Refer the MEBI block description chapter.
Port E
PE7 PE6
PE5
PE4 PE3
PE2 PE1 PE0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 121
Chapter 3 Port Integration Module (PIM) Block Description
Table 3-1. Pin Functions and Priorities (Sheet 2 of 4)
Port Port K Pin Name PK7 Pin Function ECS/ ROMCTL/ GPIO XCS XADDR[19:14]/ GPIO BKGD/ MODC/ TAGHI KWU/GPIO MII_RXER KWU/GPIO PG[5] MII_RXDV KWU/GPIO PG[4] MII_RXCLK KWU/GPIO PG[3:0] MII_RXD[3:0] KWU/GPIO Port H PH[6] MII_TXER KWU/GPIO PH[5] MII_TXEN KWU/GPIO PH[4] MII_TXCLK KWU/GPIO PH[3:0] MII_TXD[3:0] KWU/GPIO Refer to the MEBI and BDM block description chapters. Key board wake up Interrupt or General-purpose I/O MII Receive Coding Error Key board wake up Interrupt or General-purpose I/O MII Receive Data Valid Key board wake up Interrupt or General-purpose I/O MII Receive Clock Key board wake up Interrupt or General-purpose I/O MII Receive Data Key board wake up Interrupts or General-purpose I/O MII Transmit Coding Error Key board wake up Interrupts or General-purpose I/O MII Transmit Enable Key board wake up Interrupts or General-purpose I/O MII Transmit Clock Key board wake up Interrupts or General-purpose I/O MII Transmit Data Key board wake up Interrupts or General-purpose I/O GPIO GPIO Refer to the MEBI block description chapters. Description Pin Function after Reset
PK6 PK[5:0] -- BKGD
Port G
PG[7] PG[6]
MC9S12NE64 Data Sheet, Rev 1.0 122 Freescale Semiconductor
External Signal Description
Table 3-1. Pin Functions and Priorities (Sheet 3 of 4)
Port Port J Pin Name PJ[7] Pin Function IIC_SCL KWU/GPIO PJ[6] IIC_SDA KWU/GPIO PJ[3] MII_COL KWU/GPIO PJ[2] MII_CRS KWU/GPIO PJ[1] MII_MDIO KWU/GPIO PJ[0] MII_MDC KWU/GPIO Port L PL[6] PL[5] PL[4] GPIO GPIO COLLED GPIO PL[3] DUPLED GPIO PL[2] SPDLED GPIO PL[1] LNKLED GPIO PL[0] ACTLED GPIO Description Serial Clock Line bidirectional pin of IIC module Key board wake up Interrupt or General-purpose I/O Serial Data Line bidirectional pin of IIC module Key board wake up Interrupt or General-purpose I/O MII Collision Key board wake up Interrupt or General-purpose I/O MII Carrier Sense Key board wake up Interrupt or General-purpose I/O MII Management Data Input/Output Key board wake up Interrupt or General-purpose I/O MII Management Data Clock Key board wake up Interrupt or General-purpose I/O General-purpose I/O General-purpose I/O EPHY Collision LED General-purpose I/O EPHY Duplex LED General-purpose I/O EPHY Speed LED General-purpose I/O EPHY Link LED General-purpose I/O EPHY Active LED General-purpose I/O GPIO Pin Function after Reset GPIO
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 123
Chapter 3 Port Integration Module (PIM) Block Description
Table 3-1. Pin Functions and Priorities (Sheet 4 of 4)
Port Port S Pin Name PS[7] Pin Function Description Serial Peripheral Interface slave select output in master mode, input in slave mode or master mode. General-purpose I/O Serial Peripheral Interface serial clock pin General-purpose I/O Serial Peripheral Interface master out/slave in pin General-purpose I/O Serial Peripheral Interface master in/slave out pin General-purpose I/O Serial Communication Interface 1 transmit pin General-purpose I/O Serial Communication Interface 1 receive pin General-purpose I/O Serial Communication Interface 0 transmit pin General-purpose I/O Serial Communication Interface 0 receive pin General-purpose I/O Standard Timer1 Channels 7 to 4 General-purpose I/O GPIO Pin Function after Reset GPIO
SPI_SS GPIO
PS[6]
SPI_SCK GPIO
PS[5]
SPI_MOSI GPIO
PS[4]
SPI_MISO GPIO
PS[3]
SCI1_TXD GPIO
PS[2]
SCI1_RXD GPIO
PS[1]
SCI0_TXD GPIO
PS[0]
SCI0_RXD GPIO
Port T
PT[7:4]
IOC[7:4] GPIO
3.3
Memory Map and Register Descriptions
This section provides a detailed description of all registers.
3.3.1
Module Memory Map
Table 3-2. PIM Module Memory Map Address Offset
$00 $01 $02 $03 $04 $05
Table 3-2 shows the memory map of the port integration module.
Use
Port T I/O Register (PTT) Port T Input Register (PTIT) Port T Data Direction Register (DDRT) Port T Reduced Drive Register (RDRT) Port T Pull Device Enable Register (PERT) Port T Polarity Select Register (PPST)
Access
R/W R R/W R/W R/W R/W
MC9S12NE64 Data Sheet, Rev 1.0 124 Freescale Semiconductor
Memory Map and Register Descriptions
Table 3-2. PIM Module Memory Map (Continued)
$06-07 $08 $09 $0A $0B $0C $0D $0E $0F $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $1A $1B $1C $1D $1E $1F $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $2A $2B $2C $2D $2E $2F-$3F Reserved Port S I/O Register (PTS) Port S Input Register (PTIS) Port S Data Direction Register (DDRS) Port S Reduced Drive Register (RDRS) Port S Pull Device Enable Register (PERS) Port S Polarity Select Register (PPSS) Port S Wired-Or Mode Register (WOMS) Reserved Port G I/O Register (PTG) Port G Input Register (PTIG) Port G Data Direction Register (DDRG) Port G Reduced Drive Register (RDRG) Port G Pull Device Enable Register (PERG) Port G Polarity Select Register (PPSG) Port G Interrupt Enable Register (PIEG) Port G Interrupt Flag Register (PIFG) Port H I/O Register (PTH) Port H Input Register (PTIH) Port H Data Direction Register (DDRH) Port H Reduced Drive Register (RDRH) Port H Pull Device Enable Register (PERH) Port H Polarity Select Register (PPSH) Port H Interrupt Enable Register (PIEH) Port H Interrupt Flag Register (PIFH) Port J I/O Register (PTJ) Port J Input Register (PTIJ) Port J Data Direction Register (DDRJ) Port J Reduced Drive Register (RDRJ) Port J Pull Device Enable Register (PERJ) Port J Polarity Select Register (PPSJ) Port J Interrupt Enable Register (PIEJ) Port J Interrupt Flag Register (PIFJ) Port L I/O Register (PTL) Port L Input Register (PTIL) Port L Data Direction Register (DDRL) Port L Reduced Drive Register (RDRL) Port L Pull Device Enable Register (PERL) Port L Polarity Select Register (PPSL) Port L Wired-Or Mode Register (WOML) Reserved -- R/W R R/W R/W R/W R/W R/W -- R/W R R/W R/W R/W R/W R/W R/W R/W R R/W R/W R/W R/W R/W R/W R/W R R/W RW R/W R/W R/W R/W R/W R R/W R/W R/W R/W RW --
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 125
Chapter 3 Port Integration Module (PIM) Block Description
NOTE Register Address = Base Address + Address Offset, where the Base Address is defined at the MCU level and the Address Offset is defined at the module level.
3.3.2
Register Descriptions
The following table summarizes the effect on the various configuration bits - data direction (DDR), input / output level (I/O), reduced drive (RDR), pull enable (PE), pull select (PS) and interrupt enable (IE) for the ports. The configuration bit PS is used for two purposes: 1. Configure the sensitive interrupt edge (rising or falling), if interrupt is enabled. 2. Select either a pull-up or pull-down device if PE is active.
Table 3-3. Pin Configuration Summary
DDR 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
1
IO X X X X X X X 0 1 0 1 0 1 0 1
RDR X X X X X X X 0 0 1 1 0 0 1 1
PE 0 1 1 0 0 1 1 X X X X X X X X
PS X 0 1 0 1 0 1 X X X X 0 1 0 1
IE1 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1
Function Input Input Input Input Input Input Input Output, full drive to 0 Output, full drive to 1 Output, reduced drive to 0 Output, reduced drive to 1 Output, full drive to 0 Output, full drive to 1 Output, reduced drive to 0 Output, reduced drive to 1
Pull Device Disabled Pull Up Pull Down Disabled Disabled Pull Up Pull Down Disabled Disabled Disabled Disabled Disabled Disabled Disabled Disabled
Interrupt Disabled Disabled Disabled Falling edge Rising edge Falling edge Rising edge Disabled Disabled Disabled Disabled Falling edge Rising edge Falling edge Rising edge
Applicable only on ports G, H, and J.
NOTE All bits of all registers in this module are completely synchronous to internal clocks during a register read.
MC9S12NE64 Data Sheet, Rev 1.0 126 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.1
3.3.2.1.1
Port T Registers
I/O Register (PTT)
Bit 7 Read: Write TIM Reset: PTT7 IOC7 0 6 PTT6 IOC6 0 5 PTT5 IOC5 0 4 PTT4 IOC4 0 3 0 2 0 1 0 Bit 0 0
Module Base + $0
--
--
--
--
= Reserved or unimplemented
Figure 3-2. Port T I/O Register (PTT)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The standard timer module (TIM) can be configured to use the PT[7:4] as timer input capture/output compare pins. If IOC[7:4]-channel is defined as output, the related port T is assigned to IOC function. 3.3.2.1.2 Input Register (PTIT)
Bit 7 PTIT7 -- 6 PTIT6 -- 5 PTIT5 -- 4 PTIT4 -- 3 0 -- 2 0 -- 1 0 -- Bit 0 0 --
Module Base + $1
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-3. Port T Input Register (PTIT)
Read:Anytime. Write:Never, writes to this register have no effect. This register always reads back the status of the associated pins. This can also be used to detect overload or short circuit conditions on output pins. 3.3.2.1.3 Data Direction Register (DDRT)
Bit 7 Read: Write: Reset: DDRT7 0 6 DDRT6 0 5 DDRT5 0 4 DDRT4 0 3 0 -- 2 0 -- 1 0 -- Bit 0 0 --
Module Base + $2
= Reserved or unimplemented
Figure 3-4. Port T Data Direction Register (DDRT)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 127
Chapter 3 Port Integration Module (PIM) Block Description
Read:Anytime. Write:Anytime. This register configures each port T pin as either input or output. The standard TIM module forces the I/O state to be an output for each port pin associated with an enabled output compare. When the pin is configured as an output compare the corresponding data direction register (DDRT) bits do not have any effect on the I/O direction of the pin, and will maintain their previously latched value. The DDRT bits revert to controlling the I/O direction of a pin when the associated timer output compare is disabled. If a pin is being used as a timer input capture, the DDRT remains in control of the pin's I/O direction and the timer monitors the state of the pin. DDRT[7:4] -- Data Direction Port T 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTT or PTIT registers, when changing the DDRT register. 3.3.2.1.4 Reduced Drive Register (RDRT)
Bit 7 Read: Write: Reset: RDRT7 0 6 RDRT6 0 5 RDRT5 0 4 RDRT4 0 3 0 -- 2 0 -- 1 0 -- Bit 0 0 --
Module Base + $3
= Reserved or unimplemented
Figure 3-5. Port T Reduced Drive Register (RDRT)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port T output pin as either full or reduced. If the port is used as input this bit is ignored. RDRT[7:4] -- Reduced Drive Port T 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output.
MC9S12NE64 Data Sheet, Rev 1.0 128 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.1.5
Pull Device Enable Register (PERT)
Bit 7 6 PERT6 0 5 PERT5 0 4 PERT4 0 3 0 -- 2 0 -- 1 0 -- Bit 0 0 --
Module Base + $4
Read: Write: Reset:
PERT7 0
= Reserved or unimplemented
Figure 3-6. Port T Pull Device Enable Register (PERT)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input. This bit has no effect if the port is used as output. Out of reset no pull device is enabled. PERT[7:4] -- Pull Device Enable Port T 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.1.6 Polarity Select Register (PPST)
Bit 7 Read: Write: Reset: PPST7 0 6 PPST6 0 5 PPST5 0 4 PPST4 0 3 0 -- 2 0 -- 1 0 -- Bit 0 0 --
Module Base + $5
= Reserved or unimplemented
Figure 3-7. Port T Polarity Select Register (PPST)
Read:Anytime. Write:Anytime. This register selects whether a pull-down or a pull-up device is connected to the pin. PPST[7:4] -- Pull Select Port T 1 = A pull-down device is connected to the associated port T pin, if enabled by the associated bit in register PERT and if the port is used as input. 0 = A pull-up device is connected to the associated port T pin, if enabled by the associated bit in register PERT and if the port is used as input.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 129
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.2
3.3.2.2.1
Port S Registers
I/O Register (PTS)
Bit 7 Read: Write: SPI SCI Reset: PTS7 SS -- 0 6 PTS6 SCK -- 0 5 PTS5 MOSI -- 0 4 PTS4 MISO -- 0 3 PTS3 2 PTS2 1 PTS1 Bit 0 PTS0
Module Base + $8
-- -- -- -- SCI1_TXD SCI1_RXD SCI0_TXD SCI0_RXD 0 0 0 0
Figure 3-8. Port S I/O Register (PTS)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The SPI function takes precedence over the general-purpose I/O function if the SPI module is enabled. If the SPI is enabled the PS[7:4] pins become SPI_SS, SPI_SCK, SPI_MOSI, and SPI_MISO, and their configuration is determined by several status bits in the SPI module. Refer to the SPI block description chapter for details. The SCI1 and SCI0 function take precedence over the general-purpose I/O function on pins PS[3:0]. If the SCI1 or SCI0 transmitters or receivers are enabled, the SCI1 and SCI0 transmit pins, SCI1_TXD and SCI0_TXD, are configured as outputs if the corresponding transmitter is enabled. The SCI1 and SCI0 receive pins, SCI1_RXD and SCI0_RXD, are configured as inputs if the corresponding receiver is enabled. Refer to the SCI block description chapter for details. 3.3.2.2.2 Input Register (PTIS)
Bit 7 PTIS7 -- 6 PTIS6 -- 5 PTIS5 -- 4 PTIS4 -- 3 PTIS3 -- 2 PTIS2 -- 1 PTIS1 -- Bit 0 PTIS0 --
Module Base + $9
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-9. Port S Input Register (PTIS)
Read:Anytime. Write: writes to this register have no effect. This register always reads back the status of the associated pins. This also can be used to detect overload or short circuit conditions on output pins.
MC9S12NE64 Data Sheet, Rev 1.0 130 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.2.3
Data Direction Register (DDRS)
Bit 7 6 DDRS6 0 5 DDRS5 0 4 DDRS4 0 3 DDRS3 0 2 DDRS2 0 1 DDRS1 0 Bit 0 DDRS0 0
Module Base + $A
Read: Write: Reset: DDRS7 0
Figure 3-10. Port S Data Direction Register (DDRS)
Read:Anytime. Write:Anytime. This register configures each port S pin as either input or output. If the SPI is enabled, the SPI controls the SPI related pins (SPI_SS, SPI_SCK, SPI_MOSI, SPI_MISO) I/O direction, and the corresponding DDRS[7:4] bits have no effect on the SPI pins I/O direction. Refer to the SPI block description chapter for details. When the SCI0 or SCI1 transmitters are enabled, the corresponding transmit pins, SCI0_TxD and SCI0_TxD, I/O direction is controlled by the SCI0 and SCI1 respectively, and the corresponding DDRS3 and DDRS1 bits have no effect on their I/O direction. When the SCI0 or SCI1 receivers are enabled, the corresponding receive pins, SCI0_RXD and SCI1_RXD, I/O direction is controlled by the SCI0 and SCI1 respectively, and the DDRS2 and DDRS0 bits have no effect on their I/O direction. Refer to the SCI block description chapter for further details. The DDRS[7:0] bits revert to controlling the I/O direction of the pins when the associated SPI or SCI function is disabled. DDRS[7:0] -- Data Direction Port S 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTS or PTIS registers, when changing the DDRS register. 3.3.2.2.4 Reduced Drive Register (RDRS)
Bit 7 Read: Write: Reset: RDRS7 0 6 RDRS6 0 5 RDRS5 0 4 RDRS4 0 3 RDRS3 0 2 RDRS2 0 1 RDRS1 0 Bit 0 RDRS0 0
Module Base + $B
Figure 3-11. Port S Reduced Drive Register (RDRS)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port S output pin as either full or reduced. If the port is used as input this bit is ignored.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 131
Chapter 3 Port Integration Module (PIM) Block Description
RDRS[7:0] -- Reduced Drive Port S 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output. 3.3.2.2.5 Pull Device Enable Register (PERS)
Bit 7 Read: Write: Reset: PERS7 1 6 PERS6 1 5 PERS5 1 4 PERS4 1 3 PERS3 1 2 PERS2 1 1 PERS1 1 Bit 0 PERS0 1
Module Base + $C
Figure 3-12. Port S Pull Device Enable Register (PERS)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input or as output in wired-or (open drain) mode. These bits have no effect if the port is used as push-pull output. Out of reset a pull-up device is enabled. PERS[7:0] -- Pull Device Enable Port S 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.2.6 Polarity Select Register (PPSS)
Bit 7 Read: Write: Reset: PPSS7 0 6 PPSS6 0 5 PPSS5 0 4 PPSS4 0 3 PPSS3 0 2 PPSS2 0 1 PPSS1 0 Bit 0 PPSS0 0
Module Base + $D
Figure 3-13. Port S Polarity Select Register (PPSS)
Read:Anytime. Write:Anytime. This register selects whether a pull-down or a pull-up device is connected to the pin. PPSS[7:0] -- Pull Select Port S 1 = A pull-down device is connected to the associated port S pin, if enabled by the associated bit in register PERS and if the port is used as input. 0 = A pull-up device is connected to the associated port S pin, if enabled by the associated bit in PERS register and if the port is used as input or as wired-or output.
MC9S12NE64 Data Sheet, Rev 1.0 132 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.2.7
Wired-Or Mode Register (WOMS)
Bit 7 6 WOMS6 0 5 WOMS5 0 4 WOMS4 0 3 WOMS3 0 2 WOMS2 0 1 WOMS1 0 Bit 0 WOMS0 0
Module Base + $E
Read: Write: Reset: WOMS7 0
Figure 3-14. Port S Wired-Or Mode Register (WOMS)
Read:Anytime. Write:Anytime. This register configures the output pins as wired-or. If enabled the output is driven active low only (open-drain). A logic level of "1" is not driven. It applies also to the SPI and SCI outputs and allows a multipoint connection of several serial modules. These bits have no influence on pins used as inputs. WOMS[7:0] -- Wired-Or Mode Port S 1 = Open-drain mode enabled for output buffers. 0 = Open-drain mode disabled for output buffers.
3.3.2.3
3.3.2.3.1
Port G Registers
I/O Register (PTG)
Bit 7 Read: Write:
EMAC KWU
Module Base + $10
6 PTG6 5 PTG5 4 PTG4 3 PTG3 2 PTG2 1 PTG1 Bit 0 PTG0 PTG7 -- --
Reset:
MII_RXER MII_RXDV MII_RXCLK MII_RXD3 MII_RXD2 MII_RXD1 MII_RXD0 KWG 0 0 0 0 0 0 0
Figure 3-15. Port G I/O Register (PTG)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The EMAC MII external interface takes precedence over general-purpose I/O function if the EMAC module is enabled in external PHY mode. If the EMAC is enabled PG[6:0] pins become inputs MII_RXER, MII_RXDV, MII_RXCLK, MII_RXD[3:0]. Please refer to the EMAC block description chapter for details.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 133
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.3.2
Input Register (PTIG)
Bit 7 PTIG7 -- 6 PTIG6 5 PTIG5 4 PTIG4 3 PTIG3 -- 2 PTIG2 -- 1 PTIG1 -- Bit 0 PTIG0 --
Module Base + $11
Read: Write: Reset:
-- -- -- = Reserved or unimplemented
Figure 3-16. Port G Input Register (PTIG)
Read:Anytime. Write:Never, writes to this register have no effect. This register always reads back the status of the associated pins. This also can be used to detect overload or short circuit conditions on output pins. 3.3.2.3.3 Data Direction Register (DDRG)
Bit 7 Read: Write: Reset: DDRG7 0 6 DDRG6 0 5 DDRG5 0 4 DDRG4 0 3 DDRG3 0 2 DDRG2 0 1 DDRG1 0 Bit 0 DDRG0 0
Module Base + $12
Figure 3-17. Port G Data Direction Register (DDRG)
Read:Anytime. Write:Anytime. This register configures each port G pin as either input or output. DDRG[7:0] -- Data Direction Port G 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. If the EMAC MII external interface is enabled, the pins G[6:0] are forced to be inputs and DDRG has no effect on the them. Please refer to the EMAC block description chapter for details. The DDRG bits revert to controlling the I/O direction of a pin when the EMAC MII external interface is disabled. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTG or PTIG registers, when changing the DDRG register.
MC9S12NE64 Data Sheet, Rev 1.0 134 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.3.4
Reduced Drive Register (RDRG)
Bit 7 6 RDRG6 0 5 RDRG5 0 4 RDRG4 0 3 RDRG3 0 2 RDRG2 0 1 RDRG1 0 Bit 0 RDRG0 0
Module Base + $13
Read: Write: Reset: RDRG7 0
Figure 3-18. Port G Reduced Drive Register (RDRG)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port G output pin as either full or reduced. If the port is used as input these bits are ignored. RDRG[7:0] -- Reduced Drive Port G 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output. 3.3.2.3.5 Pull Device Enable Register (PERG)
Bit 7 Read: Write: Reset: PERG7 0 6 PERG6 0 5 PERG5 0 4 PERG4 0 3 PERG3 0 2 PERG2 0 1 PERG1 0 Bit 0 PERG0 0
Module Base + $14
Figure 3-19. Port G Pull Device Enable Register (PERG)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input. These bits have no effect if the port is used as output. Out of reset no pull device is enabled. PERG[7:0] -- Pull Device Enable Port G 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.3.6 Polarity Select Register (PPSG)
Bit 7 Read: Write: Reset: PPSG7 0 6 PPSG6 0 5 PPSG5 0 4 PPSG4 0 3 PPSG3 0 2 PPSG2 0 1 PPSG1 0 Bit 0 PPSG0 0
Module Base + $15
Figure 3-20. Port G Polarity Select Register (PPSG)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 135
Chapter 3 Port Integration Module (PIM) Block Description
Read:Anytime. Write:Anytime. This register selects whether a pull-down or a pull-up device is connected to the pin. PPSG[7:0] -- Pull Select Port G 1 = Rising edge on the associated port G pin sets the associated flag bit in the PIFG register. A pull-down device is connected to the associated port G pin, if enabled by the associated bit in register PERG and if the port is used as input. 0 = Falling edge on the associated port G pin sets the associated flag bit in the PIFG register. A pull-up device is connected to the associated port G pin, if enabled by the associated bit in register PERG and if the port is used as input. 3.3.2.3.7 Interrupt Enable Register (PIEG)
Bit 7 Read: Write: Reset: PIEG7 0 6 PIEG6 0 5 PIEG5 0 4 PIEG4 0 3 PIEG3 0 2 PIEG2 0 1 PIEG1 0 Bit 0 PIEG0 0
Module Base + $16
Figure 3-21. Port G Interrupt Enable Register (PIEG)
Read:Anytime. Write:Anytime. This register disables or enables on a per pin basis the edge sensitive external interrupt associated with port G. PIEG[7:0] -- Interrupt Enable Port G 1 = Interrupt is enabled. 0 = Interrupt is disabled (interrupt flag masked). 3.3.2.3.8 Interrupt Flag Register (PIFG)
Bit 7 Read: Write: Reset: PIFG7 0 6 PIFG6 0 5 PIFG5 0 4 PIFG4 0 3 PIFG3 0 2 PIFG2 0 1 PIFG1 0 Bit 0 PIFG0 0
Module Base + $17
Figure 3-22. Port G Interrupt Flag Register (PIFG)
Read:Anytime. Write:Anytime. Each flag is set by an active edge on the associated input pin. This could be a rising or a falling edge based on the state of the PPSG register. To clear this flag, write a "1" to the corresponding bit in the PIFG register. Writing a "0" has no effect.
MC9S12NE64 Data Sheet, Rev 1.0 136 Freescale Semiconductor
Memory Map and Register Descriptions
PIFG[7:0] -- Interrupt Flags Port G 1 = Active edge on the associated bit has occurred (an interrupt will occur if the associated enable bit is set). Writing a "1" clears the associated flag. 0 = No active edge pending. Writing a "0" has no effect.
3.3.2.4
3.3.2.4.1
Port H Registers
I/O Register (PTH)
Bit 7 0 6 PTH6 5 PTH5 4 PTH4 3 PTH3 2 PTH2 1 PTH1 Bit 0 PTH0
Module Base + $18
Read: Write: EMAC KWU Reset:
MII_TXER MII_TXEN MII_TXCLK MII_TXD3 MII_TXD2 MII_TXD1 MII_TXD0 KWH
-- 0 0 0 0 0 0 0
= Reserved or unimplemented
Figure 3-23. Port H I/O Register (PTH)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The EMAC MII external interface takes precedence over general-purpose I/O function if the EMAC module is enabled in external PHY mode. If the EMAC MII external interface is enabled PH[6:0] pins become MII_TXER, MII_TXEN, MII_TXCLK, MII_TXD[3:0]. Please refer to the EMAC block description chapter for details. 3.3.2.4.2 Input Register (PTIH)
Bit 7 0 -- 6 PTIH6 -- 5 PTIH5 -- 4 PTIH4 -- 3 PTIH3 -- 2 PTIH2 -- 1 PTIH1 -- Bit 0 PTIH0 --
Module Base + $19
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-24. Port H Input Register (PTIH)
Read:Anytime. Write:Never, writes to this register have no effect.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 137
Chapter 3 Port Integration Module (PIM) Block Description
This register always reads back the status of the associated pins. This can be also used to detect overload or short circuit conditions on output pins. 3.3.2.4.3 Data Direction Register (DDRH)
Bit 7 0 -- 6 DDRH6 0 5 DDRH5 0 4 DDRH4 0 3 DDRH3 0 2 DDRH2 0 1 DDRH1 0 Bit 0 DDRH0 0
Module Base + $1A
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-25. Port H Data Direction Register (DDRH)
Read:Anytime. Write:Anytime. This register configures each port H pin as either input or output. DDRH[6:0] -- Data Direction Port H 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTH or PTIH registers, when changing the DDRH register. If the EMAC MII external interface is enabled, pins PH[6:0] become MII_TXER, MII_TXEN, MII_TXCLK, MII_TXD[3:0]. In that case, DDRH[6:0] bits have no effect on their I/O direction. 3.3.2.4.4 Reduced Drive Register (RDRH)
Bit 7 0 -- 6 RDRH6 0 5 RDRH5 0 4 RDRH4 0 3 RDRH3 0 2 RDRH2 0 1 RDRH1 0 Bit 0 RDRH0 0
Module Base + $1B
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-26. Port H Reduced Drive Register (RDRH)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port H output pin as either full or reduced. If the port is used as input this bit is ignored. RDRH[6:0] -- Reduced Drive Port H 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output.
MC9S12NE64 Data Sheet, Rev 1.0 138 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.4.5
Pull Device Enable Register (PERH)
Bit 7 0 -- 6 PERH6 0 5 PERH5 0 4 PERH4 0 3 PERH3 0 2 PERH2 0 1 PERH1 0 Bit 0 PERH0 0
Module Base + $1C
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-27. Port H Pull Device Enable Register (PERH)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input. These bits have no effect if the port is used as output. Out of reset no pull device is enabled. PERH[6:0] -- Pull Device Enable Port H 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.4.6 Polarity Select Register (PPSH)
Bit 7 0 -- 6 PPSH6 0 5 PPSH5 0 4 PPSH4 0 3 PPSH3 0 2 PPSH2 0 1 PPSH1 0 Bit 0 PPSH0 0
Module Base + $1D
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-28. Port H Polarity Select Register (PPSH)
Read:Anytime. Write:Anytime. This register serves a dual purpose by selecting the polarity of the active interrupt edge as well as selecting a pull-up or pull-down device if enabled. PPSH[6:0] -- Pull Select Port H 1 = Rising edge on the associated port H pin sets the associated flag bit in the PIFH register.A pull-down device is connected to the associated port H pin, if enabled by the associated bit in register PERH and if the port is used as input. 0 = Falling edge on the associated port H pin sets the associated flag bit in the PIFH register.A pull-up device is connected to the associated port H pin, if enabled by the associated bit in register PERH and if the port is used as input.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 139
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.4.7
Interrupt Enable Register (PIEH)
Bit 7 0 -- 6 PIEH6 0 5 PIEH5 0 4 PIEH4 0 3 PIEH3 0 2 PIEH2 0 1 PIEH1 0 Bit 0 PIEH0 0
Module Base + $1E
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-29. Port H Interrupt Enable Register (PIEH)
Read:Anytime. Write:Anytime. This register disables or enables on a per pin basis the edge sensitive external interrupt associated with port H. PIEH[6:0] -- Interrupt Enable Port H 1 = Interrupt is enabled. 0 = Interrupt is disabled (interrupt flag masked). 3.3.2.4.8 Interrupt Flag Register (PIFH)
Bit 7 0 -- 6 PIFH6 0 5 PIFH5 0 4 PIFH4 0 3 PIFH3 0 2 PIFH2 0 1 PIFH1 0 Bit 0 PIFH0 0
Module Base + $1F
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-30. Port H Interrupt Flag Register (PIFH)
Read:Anytime. Write:Anytime. Each flag is set by an active edge on the associated input pin. This could be a rising or a falling edge based on the state of the PPSH register. To clear this flag, write a "1" to the corresponding bit in the PIFH register. Writing a "0" has no effect. PIFH[6:0] -- Interrupt Flags Port H 1 = Active edge on the associated bit has occurred (an interrupt will occur if the associated enable bit is set). Writing a "1" clears the associated flag. 0 = No active edge pending. Writing a "0" has no effect.
MC9S12NE64 Data Sheet, Rev 1.0 140 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.5
3.3.2.5.1
Port J Registers
I/O Register (PTJ)
Bit 7 Read: Write: EMAC IIC KWU Reset: PTJ7 6 PTJ6 5 0 4 0 3 PTJ3 MII_COL -- -- -- 0 2 PTJ2 1 PTJ1 Bit 0 PTJ0 MII_MDC -- 0
Module Base + $20
-- -- IIC_SCL IICSDA KWJ 0 0
MII_CRS MII_MDIO -- -- KWJ 0 0
= Reserved or unimplemented
Figure 3-31. Port J I/O Register (PTJ)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The EMAC MII external interface and IIC take precedence over general-purpose I/O function. If the EMAC MII external interface is enabled in external PHY mode, PJ[3:0] pins become MII_MDC, MII_MDIO, MII_CRS, MII_COL. If IIC is enabled, PJ[7:6] pins become IIC_SDA and IIC_SCL. Please refer to the EMAC and IIC block description chapters for details. 3.3.2.5.2 Input Register (PTIJ)
Bit 7 PTIJ7 -- 6 PTIJ6 -- 5 0 -- 4 0 -- 3 PTIJ3 -- 2 PTIJ2 -- 1 PTIJ1 -- Bit 0 PTIJ0 --
Module Base + $21
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-32. Port J Input Register (PTIJ)
Read:Anytime. Write: writes to this register have no effect. This register always reads back the status of the associated pins. This can be used to detect overload or short circuit conditions on output pins.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 141
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.5.3
Data Direction Register (DDRJ)
Bit 7 6 DDRJ6 0 5 0 -- 4 0 -- 3 DDRJ3 0 2 DDRJ2 0 1 DDRJ1 0 Bit 0 DDRJ0 0
Module Base + $22
Read: Write: Reset: DDRJ7 0
= Reserved or unimplemented
Figure 3-33. Port J Data Direction Register (DDRJ)
Read:Anytime. Write:Anytime. This register configures port pins J[7:6]and PJ[3:0] as either input or output. DDRJ[7:6][3:0] -- Data Direction Port J 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTJ or PTIJ registers, when changing the DDRJ register. If the IIC is enabled, It controls the direction of SCL and SDA and the corresponding DDRJ[7:6] bits have no effect on their I/O direction. Refer to the IIC block description chapter for details. If the EMAC MII external interface is enabled, It controls the direction of MDC, MDIO, CRS and COL and the corresponding DDRJ[3:0] bits have no effect on their I/O direction. Refer to the EMAC block description chapter for details. 3.3.2.5.4 Reduced Drive Register (RDRJ)
Bit 7 Read: Write: Reset: RDRJ7 0 6 RDRJ6 0 5 0 -- 4 0 -- 3 RDRJ3 0 2 RDRJ2 0 1 RDRJ1 0 Bit 0 RDRJ0 0
Module Base + $23
= Reserved or unimplemented
Figure 3-34. Port J Reduced Drive Register (RDRJ)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port J output pin as either full or reduced. If the port is used as input this bit is ignored. RDRJ[7:6][3:0] -- Reduced Drive Port J 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output.
MC9S12NE64 Data Sheet, Rev 1.0 142 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.5.5
Pull Device Enable Register (PERJ)
Bit 7 6 PERJ6 1 5 0 -- 4 0 -- 3 PERJ3 0 2 PERJ2 0 1 PERJ1 0 Bit 0 PERJ0 0
Module Base + $24
Read: Write: Reset: PERJ7 1
= Reserved or unimplemented
Figure 3-35. Port J Pull Device Enable Register (PERJ)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input. This bit has no effect if the port is used as output. Out of reset pull-up device is enabled for bits PJ[7:6] and disabled for bits PJ[3:0]. PERJ[7:6][3:0] -- Pull Device Enable Port J 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.5.6 Polarity Select Register (PPSJ)
Bit 7 Read: Write: Reset: PPSJ7 0 6 PPSJ6 0 5 0 -- 4 0 -- 3 PPSJ3 0 2 PPSJ2 0 1 PPSJ1 0 Bit 0 PPSJ0 0
Module Base + $25
= Reserved or unimplemented
Figure 3-36. Port J Polarity Select Register (PPSJ)
Read:Anytime. Write:Anytime. This register serves a dual purpose by selecting the polarity of the active interrupt edge as well as selecting a pull-up or pull-down device if enabled. PPSJ[7:6][3:0] -- Polarity Select Port J 1 = Rising edge on the associated port J pin sets the associated flag bit in the PIFJ register. A pull-down device is connected to the associated port J pin, if enabled by the associated bit in register PERJ and if the port is used as input. 0 = Falling edge on the associated port J pin sets the associated flag bit in the PIFJ register. A pull-up device is connected to the associated port J pin, if enabled by the associated bit in register PERJ and if the port is used as input.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 143
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.5.7
Interrupt Enable Register (PIEJ)
Bit 7 6 PIEJ6 0 5 0 -- 4 0 -- 3 PIEJ3 0 2 PIEJ2 0 1 PIEJ1 0 Bit 0 PIEJ0 0
Module Base + $26
Read: Write: Reset: PIEJ7 0
= Reserved or unimplemented
Figure 3-37. Port J Interrupt Enable Register (PIEJ)
Read:Anytime. Write:Anytime. This register disables or enables on a per pin basis the edge sensitive external interrupt associated with port J. PIEJ[7:6][3:0]-- Interrupt Enable Port J 1 = Interrupt is enabled. 0 = Interrupt is disabled (interrupt flag masked). 3.3.2.5.8 Interrupt Flag Register (PIFJ)
Bit 7 Read: Write: Reset: PIFJ7 0 6 PIFJ6 0 5 0 -- 4 0 -- 3 PIFJ3 0 2 PIFJ2 0 1 PIFJ1 0 Bit 0 PIFJ0 0
Module Base + $27
= Reserved or unimplemented
Figure 3-38. Port J Interrupt Flag Register (PIFJ)
Read:Anytime. Write:Anytime. Each flag is set by an active edge on the associated input pin. This could be a rising or a falling edge based on the state of the PPSJ register. To clear this flag, write "1" to the corresponding bit in the PIFJ register. Writing a "0" has no effect. PIFJ[7:6][3:0] -- Interrupt Flags Port J 1 = Active edge on the associated bit has occurred (an interrupt will occur if the associated enable bit is set). Writing a "1" clears the associated flag. 0 = No active edge pending. Writing a "0" has no effect.
MC9S12NE64 Data Sheet, Rev 1.0 144 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.6
3.3.2.6.1
Port L Registers
I/O Register (PTL)
Bit 7 0 6 PTL6 5 PTL5 4 PTL4 3 PTL3 DUPLED 0 2 PTL2 SPDLED 0 1 PTL1 LNKLED 0 Bit 0 PTL0 ACTLED 0
Module Base + $28
Read: Write:
PHY
Reset:
--
COLLED 0 0 0 = Reserved or unimplemented
Figure 3-39. Port L I/O Register (PTL)
Read:Anytime. Write:Anytime. If the data direction bits of the associated I/O pins are set to 1, a read returns the value of the port register, otherwise the value at the pins is read. The EPHY LED drive takes precedence over general-purpose I/O function if the EPHYCTL0 LEDEN bit is enabled. With the LEDEN bit set, PTL[4:0] become COLLED, DUPLED, SPDLED, LNKLED, and ACTLED. Refer to EPHY block description chapter for more detail. 3.3.2.6.2 Input Register (PTIL)
Bit 7 0 -- 6 PTIL6 -- 5 PTIL5 -- 4 PTIL4 -- 3 PTIL3 -- 2 PTIL2 -- 1 PTIL1 -- Bit 0 PTIL0 --
Module Base + $29
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-40. Port L Input Register (PTIL)
Read:Anytime. Write:Never, writes to this register have no effect. This register always reads back the status of the associated pins. This also can be used to detect overload or short circuit conditions on output pins.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 145
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.6.3
Data Direction Register (DDRL)
Bit 7 0 -- 6 DDRL6 5 DDRL5 4 DDRL4 3 DDRL3 0 2 DDRL2 0 1 DDRL1 0 Bit 0 DDRL0 0
Module Base + $2A
Read: Write: Reset:
0 0 0 = Reserved or unimplemented
Figure 3-41. Port L Data Direction Register (DDRL)
Read:Anytime. Write:Anytime. DDRL[6:0] -- Data Direction Port L 1 = Associated pin is configured as output. 0 = Associated pin is configured as input. This register configures each port L pin as either input or output. If EPHY port status LEDs are enabled, pins PL[4:0] are forced to be outputs and this register has no effect on their directions. Refer to the EPHY block description chapter for more information. Due to internal synchronization circuits, it can take up to 2 bus cycles until the correct value is read on PTL or PTIL registers, when changing the DDRL register. 3.3.2.6.4 Reduced Drive Register (RDRL)
Bit 7 0 -- 6 RDRL6 5 RDRL5 4 RDRL4 3 RDRL3 0 2 RDRL2 0 1 RDRL1 0 Bit 0 RDRL0 0
Module Base + $2B
Read: Write: Reset:
0 0 0 = Reserved or unimplemented
Figure 3-42. Port L Reduced Drive Register (RDRL)
Read:Anytime. Write:Anytime. This register configures the drive strength of each port L output pin as either full or reduced. If the port is used as input this bit is ignored. RDRL[6:0] -- Reduced Drive Port L 1 = Associated pin drives at about 1/3 of the full drive strength. 0 = Full drive strength at output.
MC9S12NE64 Data Sheet, Rev 1.0 146 Freescale Semiconductor
Memory Map and Register Descriptions
3.3.2.6.5
Pull Device Enable Register (PERL)
Bit 7 0 -- 6 PERL6 5 PERL5 4 PERL4 3 PERL3 1 2 PERL2 1 1 PERL1 1 Bit 0 PERL0 1
Module Base + $2C
Read: Write: Reset:
1 1 1 = Reserved or unimplemented
Figure 3-43. Port L Pull Device Enable Register (PERL)
Read:Anytime. Write:Anytime. This register configures whether a pull-up or a pull-down device is activated, if the port is used as input or as output in wired-or (open drain) mode. These bits have no effect if the port is used as push-pull output. Out of reset a pull-up device is enabled. PERL[6:0] -- Pull Device Enable Port L 1 = Either a pull-up or pull-down device is enabled. 0 = Pull-up or pull-down device is disabled. 3.3.2.6.6 Polarity Select Register (PPSL)
Bit 7 0 -- 6 PPSL6 0 5 PPSL5 0 4 PPSL4 0 3 PPSL3 0 2 PPSL2 0 1 PPSL1 0 Bit 0 PPSL0 0
Module Base + $2D
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-44. Port L Polarity Select Register (PPSL)
Read:Anytime. Write:Anytime. This register selects whether a pull-down or a pull-up device is connected to the pin. PPSL[6:0] -- Pull Select Port L 1 = A pull-down device is connected to the associated port L pin, if enabled by the associated bit in register PERL and if the port is used as input. 0 = A pull-up device is connected to the associated port L pin, if enabled by the associated bit in register PERL and if the port is used as input or as wired-or output.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 147
Chapter 3 Port Integration Module (PIM) Block Description
3.3.2.6.7
Wired-Or Mode Register (WOML)
Bit 7 0 -- 6 WOML6 0 5 WOML5 0 4 WOML4 0 3 WOML3 0 2 WOML2 0 1 WOML1 0 Bit 0 WOML0 0
Address Offset: $__2E
Read: Write: Reset:
= Reserved or unimplemented
Figure 3-45. Port L Wired-Or Mode Register (WOML)
Read:Anytime. Write:Anytime. This register configures the output pins as wired-or. If enabled the output is driven active low only (open-drain). A logic level of "1" is not driven. This bit has no effect on pins used as inputs. WOML[6:0] -- Wired-Or Mode Port L 1 = Open-drain mode enabled for output buffers. 0 = Open-drain mode disabled for output buffers.
3.4
Functional Description
Each pin can act as general-purpose I/O. In addition the pin can act as an output from a peripheral module or an input to a peripheral module. A set of configuration registers is common to all ports. All registers can be written at any time, however a specific configuration might not become active. Example: Selecting a pull-up resistor. This resistor does not become active while the port is used as a push-pull output.
3.4.1
I/O Register
This register holds the value driven out to the pin if the port is used as a general-purpose I/O. Writing to this register has only an effect on the pin if the port is used as general-purpose output. When reading this address, the value of the pins are returned if the data direction register bits are set to 0. If the data direction register bits are set to 1, the contents of the I/O register is returned. This is independent of any other configuration (Figure 3-46).
3.4.2
Input Register
This is a read-only register and always returns the value of the pin (Figure 3-46). Data direction register
MC9S12NE64 Data Sheet, Rev 1.0 148 Freescale Semiconductor
Functional Description
This register defines whether the pin is used as an input or an output. If a peripheral module controls the pin the contents of the data direction register is ignored (Figure 3-46). PTI
0 1
PT
0 1
PAD
DDR
data out
0 1
Module
output enable module enable
Figure 3-46. Illustration of I/O Pin Functionality
3.4.3
Reduced Drive Register
If the port is used as an output the register allows the configuration of the drive strength.
3.4.4
Pull Device Enable Register
This register turns on a pull-up or pull-down device. It becomes only active if the pin is used as an input or as a wired-or output.
3.4.5
Polarity Select Register
This register selects either a pull-up or pull-down device if enabled. It becomes only active if the pin is used as an input or wired-or output. A pull-up device can also be activated if the pin is used as a wired-or output.
3.4.6
Port T
This port is associated with the standard Timer. In all modes, port T pins PT[7:4] can be used for either general-purpose I/O or standard timer I/O. During reset, port T pins are configured as high-impedance inputs.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 149
Chapter 3 Port Integration Module (PIM) Block Description
3.4.7
Port S
This port is associated with the serial SCI and SPI modules. Port S pins PS[7:0] can be used either for general-purpose I/O, or with the SCI0, SCI1, and SPI subsystems. During reset, port S pins are configured as inputs with pull-up.
3.4.8
Port G
This port is associated with the EMAC module. Port G pins PG[7:0] can be used either for general-purpose I/O or with the EMAC subsystems. Further the Keypad Wake-Up function is implemented on pins G[7:0]. During reset, port G pins are configured as high-impedance inputs.
3.4.8.1
Interrupts
Port G offers eight general-purpose I/O pins with edge triggered interrupt capability in wired-or fashion. The interrupt enable as well as the sensitivity to rising or falling edges can be individually configured on per pin basis. All eight bits/pins share the same interrupt vector. Interrupts can be used with the pins configured as inputs or outputs. An interrupt is generated when a bit in the port interrupt flag register and its corresponding port interrupt enable bit are both set. This external interrupt feature is capable to wake up the CPU when it is in STOP or WAIT mode. A digital filter on each pin prevents pulses (Figure 3-48) shorter than a specified time from generating an interrupt. The minimum time varies over process conditions, temperature and voltage (Figure 3-47 and Table 3-4).
Glitch, filtered out, no interrupt flag set
Valid pulse, interrupt flag set
tpign tpval Figure 3-47. Interrupt Glitch Filter on Port G, H, and J (PPS=0)
MC9S12NE64 Data Sheet, Rev 1.0 150 Freescale Semiconductor
Functional Description
Table 3-4. Pulse Detection Criteria Mode Pulse
Ignored Uncertain Valid
1
STOP Unit
tpign <= 3 3 < tpulse < 4 tpval >= 4 bus clocks bus clocks bus clocks
STOP1 Unit
tpign <= 3.2 3.2 < tpulse < 10 tpval >= 10 s s s
These values include the spread of the oscillator frequency over temperature, voltage and process.
tpulse
Figure 3-48. Pulse Illustration
A valid edge on input is detected if 4 consecutive samples of a passive level are followed by 4 consecutive samples of an active level directly or indirectly. The filters are continuously clocked by the bus clock in RUN and WAIT mode. In STOP mode the clock is generated by a single RC oscillator in the Port Integration Module. To maximize current saving the RC oscillator runs only if the following condition is true on any pin: Sample count <= 4 and port interrupt enabled (PIE=1) and port interrupt flag not set (PIF=0).
3.4.9
Port H
The EMAC module is connected to port H. Port H pins PH[6:0] can be used either for general-purpose I/O or with the EMAC subsystems. Further the keypad wake-up function is implemented on pins H[6:0]. Port H offers the same interrupt features as on port G. During reset, port H pins are configured as high-impedance inputs.
3.4.10
Port J
The EMAC and IIC modules are connected to port J. Port J pins PJ[7:6] can be used either for general-purpose I/O or with the IIC subsystem. Port J pins PJ[3:0] can be used either for general-purpose I/O or with the EMAC subsystems. Further the Keypad Wake-Up function is implemented on pins H[6:0]. Port J offers the same interrupt features as on port G.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 151
Chapter 3 Port Integration Module (PIM) Block Description
If IIC takes precedence thePJ[7:6] pins become IIC open drain output pins. During reset, pins PJ[7:6] are configured as inputs with pull-ups and pins PJ[3:0] are configured as high-impedance inputs.
3.4.11
Port L
In all modes, port L pins PL[6:0] can be used either for general-purpose I/O or with the EPHY subsystem. During reset, port L pins are configured as inputs with pull-ups.
3.4.12
Port A, B, E and BKGD Pin
All port and pin logic is located in the core module. Please refer to MEBI block description chapter for details.
3.4.13
External Pin Descriptions
All ports start up as general-purpose inputs on reset.
3.4.14
3.4.14.1
Low Power Options
Run Mode
No low power options exist for this module in run mode.
3.4.14.2
Wait Mode
No low power options exist for this module in wait mode.
3.4.14.3
Stop Mode
All clocks are stopped. There are asynchronous paths to generate interrupts from STOP on port G, H, and J.
3.5
Initialization/Application Information
The reset values of all registers are given in Section 3.3, "Memory Map and Register Descriptions."
3.5.1
Reset Initialization
All registers including the data registers get set/reset asynchronously. Table 3-5 summarizes the port properties after reset initialization.
MC9S12NE64 Data Sheet, Rev 1.0 152 Freescale Semiconductor
Interrupts
Table 3-5. Port Reset State Summary Port T S G H J[7:6] J[3:0] L A B E K BKGD pin Data Direction input input input input input input input Reset States Red. Drive Wired-Or Mode disabled n/a disabled disabled disabled n/a disabled n/a disabled n/a disabled n/a disabled disabled
Pull Mode hiz pull-up hiz hiz pull-up hiz pull-up
Interrupt n/a n/a disabled disabled disabled disabled n/a
Refer to the MEBI block description chapter for details.
Refer to the BDM block description chapter for details.
3.6
Interrupts
Port G, H, and J generate a separate edge sensitive interrupt if enabled.
3.6.1
Interrupt Sources
Table 3-6. Port Integration Module Interrupt Sources Interrupt Source Port G Port H Port J Interrupt Flag PIFG[7:0] PIFH[6:0] PIFJ[7:6],[3:0] Local Enable PIEG[7:0] PIEH[6:0] PIEJ[7:6],[3:0] Global (CCR) Mask I Bit I Bit I Bit
NOTE Vector addresses and their relative interrupt priority are determined at the MCU level.
3.6.2
Recovery from Stop
The PIM_9NE64 can generate wake-up interrupts from stop on port G, H, and J. For other sources of external interrupts please refer to the respective block description chapter.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 153
Chapter 3 Port Integration Module (PIM) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 154 Freescale Semiconductor
Chapter 4 Clocks and Reset Generator (CRG) Block Description
4.1 Introduction
This specification describes the function of the clocks and reset generator (CRG).
4.1.1
Features
The main features of this block are: * Phase-locked loop (PLL) frequency multiplier -- Reference divider -- Automatic bandwidth control mode for low-jitter operation -- Automatic frequency lock detector -- CPU interrupt on entry or exit from locked condition -- Self-clock mode in absence of reference clock * System clock generator -- Clock quality check -- Clock switch for either oscillator- or PLL-based system clocks -- User selectable disabling of clocks during wait mode for reduced power consumption * Computer operating properly (COP) watchdog timer with time-out clear window * System reset generation from the following possible sources: -- Power-on reset -- Low voltage reset Refer to the device overview section for availability of this feature. -- COP reset -- Loss of clock reset -- External pin reset * Real-Time Interrupt (RTI)
4.1.2
Modes of Operation
This subsection lists and briefly describes all operating modes supported by the CRG. * Run mode All functional parts of the CRG are running during normal run mode. If RTI or COP functionality is required the individual bits of the associated rate select registers (COPCTL, RTICTL) have to be set to a nonzero value. * Wait mode
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 155
Chapter 4 Clocks and Reset Generator (CRG) Block Description
*
*
This mode allows to disable the system and core clocks depending on the configuration of the individual bits in the CLKSEL register. Stop mode Depending on the setting of the PSTP bit, stop Mode can be differentiated between full stop mode (PSTP=0) and pseudo-stop mode (PSTP=1). -- Full stop mode The oscillator is disabled and thus all system and core clocks are stopped. The COP and the RTI remain frozen. -- Pseudo-stop mode The oscillator continues to run and most of the system and core clocks are stopped. If the respective enable bits are set the COP and RTI will continue to run, else they remain frozen. Self-clock mode Self-clock mode will be entered if the clock monitor enable bit (CME) and the self-clock mode enable bit (SCME) are both asserted and the clock monitor in the oscillator block detects a loss of clock. As soon as self-clock mode is entered the CRG starts to perform a clock quality check. Self-clock mode remains active until the clock quality check indicates that the required quality of the incoming clock signal is met (frequency and amplitude). Self-clock mode should be used for safety purposes only. It provides reduced functionality to the MCU in case a loss of clock is causing severe system conditions.
4.1.3
Block Diagram
Figure 4-1 shows a block diagram of the CRG.
MC9S12NE64 Data Sheet, Rev 1.0 156 Freescale Semiconductor
Signal Description
Voltage Regulator
Power-on Reset Low Voltage Reset 1
RESET
CRG
CM fail COP timeout
Clock XCLKS Monitor
EXTAL XTAL
Reset Generator Clock Quality Checker COP RTI
System Reset
OSCCLK
Oscillator
Bus Clock Core Clock Oscillator Clock
Registers
XFC VDDPLL VSSPLL PLLCLK
PLL
Clock and Reset Control
Real-Time Interrupt PLL Lock Interrupt Self-Clock Mode Interrupt
1) Refer to the device overview section for availability of the low voltage reset feature.
Figure 4-1. Block Diagram of CRG
4.2
Signal Description
This section lists and describes the signals that connect off chip.
4.2.1
VDDPLL, VSSPLL
These pins provides operating voltage (VDDPLL) and ground (VSSPLL) for the PLL circuitry. This allows the supply voltage to the PLL to be independently bypassed. Even if PLL usage is not required VDDPLL and VSSPLL must be connected properly.
4.2.2
XFC
A passive external loop filter must be placed on the XFC pin. The filter is a second-order, low-pass filter to eliminate the VCO input ripple. The value of the external filter network and the reference frequency determines the speed of the corrections and the stability of the PLL. Refer to the device overview chapter
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 157
Chapter 4 Clocks and Reset Generator (CRG) Block Description
for calculation of PLL Loop Filter (XFC) components. If PLL usage is not required the XFC pin must be tied to VDDPLL.
VDDPLL
CS MCU RS XFC
CP
Figure 4-2. PLL Loop Filter Connections
4.2.3
RESET
RESET is an active low bidirectional reset pin. As an input it initializes the MCU asynchronously to a known start-up state. As an open-drain output it indicates that an system reset (internal to MCU) has been triggered.
4.3
Memory Map and Register Descriptions
This section provides a detailed description of all registers accessible in the CRG.
4.3.1
Module Memory Map
Table 4-1 gives an overview on all CRG registers.
MC9S12NE64 Data Sheet, Rev 1.0 158 Freescale Semiconductor
Memory Map and Register Descriptions
Table 4-1. CRG Module Memory Map Address Offset
$_00 $_01 $_02 $_03 $_04 $_05 $_06 $_07 $_08 $_09 $_0A $_0B
Use
CRG Synthesizer Register (SYNR) CRG Reference Divider Register (REFDV) CRG Test Flags Register (CTFLG)1 CRG Flags Register (CRGFLG) CRG Interrupt Enable Register (CRGINT) CRG Clock Select Register (CLKSEL) CRG PLL Control Register (PLLCTL) CRG RTI Control Register (RTICTL) CRG COP Control Register (COPCTL) CRG Force and Bypass Test Register (FORBYP)2 CRG Test Control Register (CTCTL)3 CRG COP Arm/Timer Reset (ARMCOP)
Access
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
1CTFLG is intended for factory test purposes only. 2FORBYP is intended for factory test purposes only. 3CTCTL is intended for factory test purposes only.
NOTE Register address = base address + address offset, where the base address is defined at the MCU level and the address offset is defined at the module level.
4.3.2
Register Descriptions
This section describes in address order all the CRG registers and their individual bits.
4.3.2.1
CRG Synthesizer Register (SYNR)
The SYNR register controls the multiplication factor of the PLL. If the PLL is on, the count in the loop divider (SYNR) register effectively multiplies up the PLL clock (PLLCLK) from the reference frequency by 2 x (SYNR+1). PLLCLK will not be below the minimum VCO frequency (fSCM).
( SYNR + 1 ) PLLCLK = 2xOSCCLKx ---------------------------------( REFDV + 1 )
NOTE If PLL is selected (PLLSEL=1), Bus Clock = PLLCLK / 2 Bus Clock must not exceed the maximum operating system frequency.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 159
Chapter 4 Clocks and Reset Generator (CRG) Block Description
Module Base + $0
7 R W RESET: 6 5 4 3 2 1 0
0 0
0 0
SYN5 0
SYN4 0
SYN3 0
SYN2 0
SYN1 0
SYN0 0
= Unimplemented or Reserved
Figure 4-3. CRG Synthesizer Register (SYNR)
Read: anytime Write: anytime except if PLLSEL = 1 NOTE Write to this register initializes the lock detector bit and the track detector bit.
4.3.2.2
CRG Reference Divider Register (REFDV)
The REFDV register provides a finer granularity for the PLL multiplier steps. The count in the reference divider divides OSCCLK frequency by REFDV+1.
Module Base + $1
7 R W RESET: 6 5 4 3 2 1 0
0 0
0 0
0 0
0 0
REFDV3 0
REFDV2 0
REFDV1 0
REFDV0 0
= Unimplemented or Reserved
Figure 4-4. CRG Reference Divider Register (REFDV)
Read: anytime Write: anytime except when PLLSEL = 1 NOTE Write to this register initializes the lock detector bit and the track detector bit.
4.3.2.3
Reserved Register (CTFLG)
This register is reserved for factory testing of the CRG module and is not available in normal modes.
MC9S12NE64 Data Sheet, Rev 1.0 160 Freescale Semiconductor
Memory Map and Register Descriptions
Module Base + $2
7 R W RESET: 6 5 4 3 2 1 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
= Unimplemented or Reserved
Figure 4-5. Reserved Register (CTFLG)
Read: always reads $00 in normal modes Write: unimplemented in normal modes NOTE Writing to this register when in special mode can alter the CRG functionality.
4.3.2.4
CRG Flags Register (CRGFLG)
This register provides CRG status bits and flags.
Module Base + $3
7 R W RESET: 6 5 4 3 2 1 0
RTIF 0
PORF
1
LVRF
2
LOCKIF 0
LOCK 0
TRACK 0
SCMIF 0
SCM 0
= Unimplemented or Reserved
1 2
PORF is set to 1 when a power-on reset occurs. Unaffected by system reset. LVRF is set to 1 when a low voltage reset occurs. Unaffected by system reset.
Figure 4-6. CRG Flags Register (CRGFLG)
Read: anytime Write: refer to each bit for individual write conditions RTIF -- Real-Time Interrupt Flag RTIF is set to 1 at the end of the RTI period. This flag can only be cleared by writing a 1. Writing a 0 has no effect. If enabled (RTIE=1), RTIF causes an interrupt request. 1 = RTI time-out has occurred. 0 = RTI time-out has not yet occurred.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 161
Chapter 4 Clocks and Reset Generator (CRG) Block Description
PORF -- Power-on Reset Flag PORF is set to 1 when a power-on reset occurs. This flag can only be cleared by writing a 1. Writing a 0 has no effect. 1 = Power-on reset has occurred. 0 = Power-on reset has not occurred. LVRF -- Low Voltage Reset Flag If low voltage reset feature is not available (see the device overview chapter), LVRF always reads 0. LVRF is set to 1 when a low voltage reset occurs. This flag can only be cleared by writing a 1. Writing a 0 has no effect. 1 = Low voltage reset has occurred. 0 = Low voltage reset has not occurred. LOCKIF -- PLL Lock Interrupt Flag LOCKIF is set to 1 when LOCK status bit changes. This flag can only be cleared by writing a 1. Writing a 0 has no effect.If enabled (LOCKIE=1), LOCKIF causes an interrupt request. 1 = LOCK bit has changed. 0 = No change in LOCK bit. LOCK -- Lock Status Bit LOCK reflects the current state of PLL lock condition. This bit is cleared in self-clock mode. Writes have no effect. 1 = PLL VCO is within the desired tolerance of the target frequency. 0 = PLL VCO is not within the desired tolerance of the target frequency. TRACK -- Track Status Bit TRACK reflects the current state of PLL track condition. This bit is cleared in self-clock mode. Writes have no effect. 1 = Tracking mode status. 0 = Acquisition mode status. SCMIF -- Self-Clock Mode Interrupt Flag SCMIF is set to 1 when SCM status bit changes. This flag can only be cleared by writing a 1. Writing a 0 has no effect. If enabled (SCMIE=1), SCMIF causes an interrupt request. 1 = SCM bit has changed. 0 = No change in SCM bit. SCM -- Self-Clock Mode Status Bit SCM reflects the current clocking mode. Writes have no effect. 1 = MCU is operating in self-clock mode with OSCCLK in an unknown state. All clocks are derived from PLLCLK running at its minimum frequency fSCM. 0 = MCU is operating normally with OSCCLK available.
4.3.2.5
CRG Interrupt Enable Register (CRGINT)
This register enables CRG interrupt requests.
MC9S12NE64 Data Sheet, Rev 1.0 162 Freescale Semiconductor
Memory Map and Register Descriptions
Module Base + $4
7 R W RESET: 6 5 4 3 2 1 0
RTIE 0
0 0
0 0
LOCKIE 0
0 0
0 0
SCMIE 0
0 0
= Unimplemented or Reserved
Figure 4-7. CRG Interrupt Enable Register (CRGINT)
Read: anytime Write: anytime RTIE -- Real-Time Interrupt Enable Bit. 1 = Interrupt will be requested whenever RTIF is set. 0 = Interrupt requests from RTI are disabled. LOCKIE -- Lock Interrupt Enable Bit 1 = Interrupt will be requested whenever LOCKIF is set. 0 = LOCK interrupt requests are disabled. SCMIE -- Self-Clock Mode Interrupt Enable Bit 1 = Interrupt will be requested whenever SCMIF is set. 0 = SCM interrupt requests are disabled.
4.3.2.6
CRG Clock Select Register (CLKSEL)
This register controls CRG clock selection. Refer to Figure 4-16 for details on the effect of each bit.
Module Base + $5
7 R W RESET: 6 5 4 3 2 1 0
PLLSEL 0
PSTP 0
SYSWAI 0
ROAWAI 0
PLLWAI 0
CWAI 0
RTIWAI 0
COPWAI 0
Figure 4-8. CRG Clock Select Register (CLKSEL)
Read: anytime Write: refer to each bit for individual write conditions PLLSEL -- PLL Select Bit Write anytime. Writing a 1 when LOCK=0 and AUTO=1, or TRACK=0 and AUTO=0 has no effect. This prevents the selection of an unstable PLLCLK as SYSCLK. PLLSEL bit is cleared when the MCU enters self-clock mode, stop mode or wait mode with PLLWAI bit set. 1 = System clocks are derived from PLLCLK (Bus Clock = PLLCLK / 2). 0 = System clocks are derived from OSCCLK (Bus Clock = OSCCLK / 2).
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 163
Chapter 4 Clocks and Reset Generator (CRG) Block Description
PSTP -- Pseudo-Stop Bit Write: anytime This bit controls the functionality of the oscillator during stop mode. 1 = Oscillator continues to run in stop mode (pseudo-stop). The oscillator amplitude is reduced. Refer to oscillator block description for availability of a reduced oscillator amplitude. 0 = Oscillator is disabled in stop mode. NOTE Pseudo-stop allows for faster stop recovery and reduces the mechanical stress and aging of the resonator in case of frequent stop conditions at the expense of a slightly increased power consumption. Lower oscillator amplitude exhibits lower power consumption but could have adverse effects during any electro-magnetic susceptibility (EMS) tests. SYSWAI -- System clocks stop in wait mode bit Write: anytime 1 = In wait mode, the system clocks stop. 0 = In wait mode, the system clocks continue to run. NOTE RTI and COP are not affected by SYSWAI bit. ROAWAI -- Reduced Oscillator Amplitude in Wait Mode Bit. Refer to oscillator block description chapter for availability of a reduced oscillator amplitude. If no such feature exists in the oscillator block then setting this bit to 1 will not have any effect on power consumption. Write: anytime 1 = Reduced oscillator amplitude in wait mode. 0 = Normal oscillator amplitude in wait mode. NOTE Lower oscillator amplitude exhibits lower power consumption but could have adverse effects during any electro-magnetic susceptibility (EMS) tests. PLLWAI -- PLL stops in Wait Mode Bit Write: anytime If PLLWAI is set, the CRG will clear the PLLSEL bit before entering wait mode. The PLLON bit remains set during wait mode but the PLL is powered down. Upon exiting wait mode, the PLLSEL bit has to be set manually if PLL clock is required. While the PLLWAI bit is set the AUTO bit is set to 1 in order to allow the PLL to automatically lock on the selected target frequency after exiting wait mode. 1 = PLL stops in wait mode. 0 = PLL keeps running in wait mode.
MC9S12NE64 Data Sheet, Rev 1.0 164 Freescale Semiconductor
Memory Map and Register Descriptions
CWAI -- Core stops in Wait Mode Bit Write: anytime 1 = Core clock stops in wait mode. 0 = Core clock keeps running in wait mode. RTIWAI -- RTI stops in Wait Mode Bit Write: anytime 1 = RTI stops and initializes the RTI dividers whenever the part goes into wait mode. 0 = RTI keeps running in wait mode. COPWAI -- COP stops in Wait Mode Bit Normal modes: Write once Special modes: Write anytime 1 = COP stops and initializes the COP dividers whenever the part goes into wait mode. 0 = COP keeps running in wait mode.
4.3.2.7
CRG PLL Control Register (PLLCTL)
This register controls the PLL functionality.
Module Base + $6
7 R W RESET: 6 5 4 3 2 1 0
CME 1
PLLON 1
AUTO 1
ACQ 1
0 0
PRE 0
PCE 0
SCME 1
= Unimplemented or Reserved
Figure 4-9. CRG PLL Control Register (PLLCTL)
Read: anytime Write: refer to each bit for individual write conditions CME -- Clock Monitor Enable Bit CME enables the clock monitor. Write anytime except when SCM = 1. 1 = Clock monitor is enabled. Slow or stopped clocks will cause a clock monitor reset sequence or self-clock mode. 0 = Clock monitor is disabled. NOTE Operating with CME=0 will not detect any loss of clock. In case of poor clock quality this could cause unpredictable operation of the MCU. In Stop Mode (PSTP=0) the clock monitor is disabled independently of the CME bit setting and any loss of clock will not be detected.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 165
Chapter 4 Clocks and Reset Generator (CRG) Block Description
PLLON -- Phase Lock Loop On Bit PLLON turns on the PLL circuitry. In self-clock mode, the PLL is turned on, but the PLLON bit reads the last latched value. Write anytime except when PLLSEL = 1. 1 = PLL is turned on. If AUTO bit is set, the PLL will lock automatically. 0 = PLL is turned off. AUTO -- Automatic Bandwidth Control Bit AUTO selects either the high bandwidth (acquisition) mode or the low bandwidth (tracking) mode depending on how close to the desired frequency the VCO is running. Write anytime except when PLLWAI=1, because PLLWAI sets the AUTO bit to 1. 1 = Automatic mode control is enabled and ACQ bit has no effect. 0 = Automatic mode control is disabled and the PLL is under software control, using ACQ bit. ACQ -- Acquisition Bit Write anytime. If AUTO=1 this bit has no effect. 1 = High bandwidth filter is selected. 0 = Low bandwidth filter is selected. PRE -- RTI Enable during Pseudo-Stop Bit PRE enables the RTI during pseudo-stop mode. Write anytime. 1 = RTI continues running during pseudo-stop mode. 0 = RTI stops running during pseudo-stop mode. NOTE If the PRE bit is cleared the RTI dividers will go static while pseudo-stop mode is active. The RTI dividers will not initialize like in wait mode with RTIWAI bit set. PCE -- COP Enable during Pseudo-Stop Bit PCE enables the COP during pseudo-stop mode. Write anytime. 1 = COP continues running during pseudo-stop mode 0 = COP stops running during pseudo-stop mode NOTE If the PCE bit is cleared the COP dividers will go static while pseudo-stop mode is active. The COP dividers will not initialize like in wait mode with COPWAI bit set. SCME -- Self-Clock Mode Enable Bit Normal modes: Write once Special modes: Write anytime SCME can not be cleared while operating in self-clock mode (SCM=1). 1 = Detection of crystal clock failure forces the MCU in self-clock mode (see Section 4.4.2.2, "Self-Clock Mode"). 0 = Detection of crystal clock failure causes clock monitor reset (see Section 4.5.1, "Clock Monitor Reset").
MC9S12NE64 Data Sheet, Rev 1.0 166 Freescale Semiconductor
Memory Map and Register Descriptions
4.3.2.8
CRG RTI Control Register (RTICTL)
This register selects the timeout period for the real-time interrupt.
Module Base + $7
7 R W RESET: 6 5 4 3 2 1 0
0 0
RTR6 0
RTR5 0
RTR4 0
RTR3 0
RTR2 0
RTR1 0
RTR0 0
= Unimplemented or Reserved
Figure 4-10. CRG RTI Control Register (RTICTL)
Read: anytime Write: anytime NOTE A write to this register initializes the RTI counter. RTR[6:4] -- Real-Time Interrupt Prescale Rate Select Bits These bits select the prescale rate for the RTI. See Table 4-2. RTR[3:0] -- Real-Time Interrupt Modulus Counter Select Bits These bits select the modulus counter target value to provide additional granularity. Table 4-2 shows all possible divide values selectable by the RTICTL register. The source clock for the RTI is OSCCLK.
Table 4-2. RTI Frequency Divide Rates RTR[3:0] 000 (OFF) 0000 (/1) 0001 (/2) 0010 (/3) 0011 (/4) 0100 (/5) 0101 (/6) 0110 (/7) 0111 (/8) 1000 (/9) OFF* OFF* OFF* OFF* OFF* OFF* OFF* OFF* OFF* 001 (210) 210 2x210 3x210 4x210 5x210 6x210 7x210 8x210 9x210 010 (211) 211 2x211 3x211 4x211 5x211 6x211 7x211 8x211 9x211 RTR[6:4] = 011 (212) 212 2x212 3x212 4x212 5x212 6x212 7x212 8x212 9x212 100 (213) 213 2x213 3x213 4x213 5x213 6x213 7x213 8x213 9x213 101 (214) 214 2x214 3x214 4x214 5x214 6x214 7x214 8x214 9x214 110 (215) 215 2x215 3x215 4x215 5x215 6x215 7x215 8x215 9x215 111 (216) 216 2x216 3x216 4x216 5x216 6x216 7x216 8x216 9x216
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 167
Chapter 4 Clocks and Reset Generator (CRG) Block Description
Table 4-2. RTI Frequency Divide Rates (Continued) RTR[3:0] 1001 (/10) 1010 (/11) 1011 (/12) 1100 (/ 13) 1101 (/14) 1110 (/15) 1111 (/ 16) OFF* OFF* OFF* OFF* OFF* OFF* OFF* 10x210 11x210 12x210 13x210 14x210 15x210 16x210 10x211 11x211 12x211 13x211 14x211 15x211 16x211 RTR[6:4] = 10x212 11x212 12x212 13x212 14x212 15x212 16x212 10x213 11x213 12x213 13x213 14x213 15x213 16x213 10x214 11x214 12x214 13x214 14x214 15x214 16x214 10x215 11x215 12x215 13x215 14x215 15x215 16x215 10x216 11x216 12x216 13x216 14x216 15x216 16x216
* Denotes the default value out of reset.This value should be used to disable the RTI to ensure future backwards compatibility.
4.3.2.9
CRG COP Control Register (COPCTL)
This register controls the COP (computer operating properly) watchdog.
Module Base + $8
7 R W RESET: 6 5 4 3 2 1 0
WCOP 0
RSBCK 0
0 0
0 0
0 0
CR2 0
CR1 0
CR0 0
= Unimplemented or Reserved
Figure 4-11. CRG COP Control Register (COPCTL)
Read: anytime Write: WCOP, CR2, CR1, CR0: once in user mode, anytime in special mode Write: RSBCK: once WCOP -- Window COP Mode Bit When set, a write to the ARMCOP register must occur in the last 25% of the selected period. A write during the first 75% of the selected period will reset the part. As long as all writes occur during this window, $55 can be written as often as desired. As soon as $AA is written after the $55, the time-out logic restarts and the user must wait until the next window before writing to ARMCOP. Table 4-3 shows the exact duration of this window for the seven available COP rates. 1 = Window COP operation 0 = Normal COP operation
MC9S12NE64 Data Sheet, Rev 1.0 168 Freescale Semiconductor
Memory Map and Register Descriptions
RSBCK -- COP and RTI stop in Active BDM mode Bit 1 = Stops the COP and RTI counters whenever the part is in active BDM mode. 0 = Allows the COP and RTI to keep running in active BDM mode. CR[2:0] -- COP Watchdog Timer Rate select These bits select the COP time-out rate (see Table 4-3). The COP time-out period is OSCCLK period divided by CR[2:0] value. Writing a nonzero value to CR[2:0] enables the COP counter and starts the time-out period. A COP counter time-out causes a system reset. This can be avoided by periodically (before time-out) reinitializing the COP counter via the ARMCOP register.
Table 4-3. COP Watchdog Rates1 CR2 0 0 0 0 1 1 1 1
1
CR1 0 0 1 1 0 0 1 1
CR0 0 1 0 1 0 1 0 1
OSCCLK cycles to time-out COP disabled 2 14 2 16 2 18 2 20 2 22 2 23 2 24
OSCCLK cycles are referenced from the previous COP time-out reset (writing $55/$AA to the ARMCOP register)
4.3.2.10
Reserved Register (FORBYP)
NOTE This reserved register is designed for factory test purposes only, and is not intended for general user access. Writing to this register when in special modes can alter the CRG's functionality.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 169
Chapter 4 Clocks and Reset Generator (CRG) Block Description
Module Base + $9
7 R W RESET: 6 5 4 3 2 1 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
= Unimplemented or Reserved
Figure 4-12. Reserved Register (FORBYP)
Read: always read $00 except in special modes Write: only in special modes
4.3.2.11
Reserved Register (CTCTL)
NOTE This reserved register is designed for factory test purposes only, and is not intended for general user access. Writing to this register when in special test modes can alter the CRG's functionality.
Module Base + $A
7 R W RESET: 6 5 4 3 2 1 0
1 0
0 0
0 0
0 0
0 0
0 0
0 0
0 0
= Unimplemented or Reserved
Figure 4-13. Reserved Register (CTCTL)
Read: always read $80 except in special modes Write: only in special modes
4.3.2.12
CRG COP Timer Arm/Reset Register (ARMCOP)
This register is used to restart the COP time-out period.
Module Base + $B
7 R W RESET: 6 5 4 3 2 1 0
0 Bit 7 0
0 Bit 6 0
0 Bit 5 0
0 Bit 4 0
0 Bit 3 0
0 Bit 2 0
0 Bit 1 0
0 Bit 0 0
Figure 4-14. ARMCOP Register Diagram
Read: always reads $00
MC9S12NE64 Data Sheet, Rev 1.0 170 Freescale Semiconductor
Functional Description
Write: anytime When the COP is disabled (CR[2:0] = "000") writing to this register has no effect. When the COP is enabled by setting CR[2:0] nonzero, the following applies: Writing any value other than $55 or $AA causes a COP reset. To restart the COP time-out period you must write $55 followed by a write of $AA. Other instructions may be executed between these writes but the sequence ($55, $AA) must be completed prior to COP end of time-out period to avoid a COP reset. Sequences of $55 writes or sequences of $AA writes are allowed. When the WCOP bit is set, $55 and $AA writes must be done in the last 25% of the selected time-out period; writing any value in the first 75% of the selected period will cause a COP reset.
4.4
Functional Description
This section gives detailed informations on the internal operation of the design.
4.4.1
4.4.1.1
Functional Blocks
Phase Locked Loop (PLL)
The PLL is used to run the MCU from a different time base than the incoming OSCCLK. For increased flexibility, OSCCLK can be divided in a range of 1 to 16 to generate the reference frequency. This offers a finer multiplication granularity. The PLL can multiply this reference clock by a multiple of 2, 4, 6,... 126,128 based on the SYNR register. [ SYNR + 1 ] PLLCLK = 2 x OSCCLK x ---------------------------------[ REFDV + 1 ] CAUTION Although it is possible to set the two dividers to command a very high clock frequency, do not exceed the specified bus frequency limit for the MCU. If (PLLSEL=1), Bus Clock = PLLCLK / 2 The PLL is a frequency generator that operates in either acquisition mode or tracking mode, depending on the difference between the output frequency and the target frequency. The PLL can change between acquisition and tracking modes either automatically or manually. The VCO has a minimum operating frequency, which corresponds to the self-clock mode frequency fSCM.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 171
Chapter 4 Clocks and Reset Generator (CRG) Block Description
REFERENCE EXTAL REDUCED CONSUMPTION OSCILLATOR XTAL OSCCLK REFDV <3:0> FEEDBACK LOCK DETECTOR
LOCK
REFERENCE PROGRAMMABLE DIVIDER
VDDPLL/VSSPLL PDET PHASE DETECTOR UP DOWN CPUMP VCO
CRYSTAL MONITOR
LOOP PROGRAMMABLE DIVIDER SYN <5:0>
VDDPLL LOOP FILTER XFC PIN PLLCLK
supplied by:
VDDPLL/VSSPLL VDD/VSS
Figure 4-15. PLL Functional Diagram
4.4.1.1.1
PLL Operation
The oscillator output clock signal (OSCCLK) is fed through the reference programmable divider and is divided in a range of 1 to 16 (REFDV+1) to output the reference clock. The VCO output clock, (PLLCLK) is fed back through the programmable loop divider and is divided in a range of 2 to 128 in increments of [2 x (SYNR +1)] to output the feedback clock. See Figure 4-15. The phase detector then compares the feedback clock, with the reference clock. Correction pulses are generated based on the phase difference between the two signals. The loop filter then slightly alters the DC voltage on the external filter capacitor connected to XFC pin, based on the width and direction of the correction pulse. The filter can make fast or slow corrections depending on its mode, as described in the next subsection. The values of the external filter network and the reference frequency determine the speed of the corrections and the stability of the PLL. 4.4.1.1.2 Acquisition and Tracking Modes
The lock detector compares the frequencies of the feedback clock, and the reference clock. Therefore, the speed of the lock detector is directly proportional to the final reference frequency. The circuit determines the mode of the PLL and the lock condition based on this comparison. The PLL filter can be manually or automatically configured into one of two possible operating modes: * Acquisition mode In acquisition mode, the filter can make large frequency corrections to the VCO. This mode is used at PLL start-up or when the PLL has suffered a severe noise hit and the VCO frequency is far off the desired frequency. When in acquisition mode, the TRACK status bit is cleared in the CRGFLG register.
MC9S12NE64 Data Sheet, Rev 1.0 172 Freescale Semiconductor
Functional Description
*
Tracking mode In tracking mode, the filter makes only small corrections to the frequency of the VCO. PLL jitter is much lower in tracking mode, but the response to noise is also slower. The PLL enters tracking mode when the VCO frequency is nearly correct and the TRACK bit is set in the CRGFLG register.
The PLL can change the bandwidth or operational mode of the loop filter manually or automatically. In automatic bandwidth control mode (AUTO = 1), the lock detector automatically switches between acquisition and tracking modes. Automatic bandwidth control mode also is used to determine when the PLL clock (PLLCLK) is safe to use as the source for the system and core clocks. If PLL LOCK interrupt requests are enabled, the software can wait for an interrupt request and then check the LOCK bit. If CPU interrupts are disabled, software can poll the LOCK bit continuously (during PLL start-up, usually) or at periodic intervals. In either case, only when the LOCK bit is set, is the PLLCLK clock safe to use as the source for the system and core clocks. If the PLL is selected as the source for the system and core clocks and the LOCK bit is clear, the PLL has suffered a severe noise hit and the software must take appropriate action, depending on the application. The following conditions apply when the PLL is in automatic bandwidth control mode (AUTO = 1): * The TRACK bit is a read-only indicator of the mode of the filter. * The TRACK bit is set when the VCO frequency is within a certain tolerance, trk, and is clear when the VCO frequency is out of a certain tolerance, unt. * The LOCK bit is a read-only indicator of the locked state of the PLL. * The LOCK bit is set when the VCO frequency is within a certain tolerance, Lock, and is cleared when the VCO frequency is out of a certain tolerance, unl. * CPU interrupts can occur if enabled (LOCKIE = 1) when the lock condition changes, toggling the LOCK bit. The PLL can also operate in manual mode (AUTO = 0). Manual mode is used by systems that do not require an indicator of the lock condition for proper operation. Such systems typically operate well below the maximum system frequency (fsys) and require fast start-up. The following conditions apply when in manual mode: * ACQ is a writable control bit that controls the mode of the filter. Before turning on the PLL in manual mode, the ACQ bit should be asserted to configure the filter in acquisition mode. * After turning on the PLL by setting the PLLON bit software must wait a given time (tacq) before entering tracking mode (ACQ = 0). * After entering tracking mode software must wait a given time (tal) before selecting the PLLCLK as the source for system and core clocks (PLLSEL = 1).
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 173
Chapter 4 Clocks and Reset Generator (CRG) Block Description
4.4.1.2
System Clocks Generator
PLLSEL or SCM WAIT(CWAI,SYSWAI), STOP PHASE LOCK LOOP PLLCLK
1 0
SYSCLK Core Clock WAIT(SYSWAI), STOP SCM WAIT(RTIWAI), STOP(PSTP,PRE), RTI enable /2 CLOCK PHASE GENERATOR Bus Clock
EXTAL OSCILLATOR OSCCLK
1
RTI
0
XTAL
WAIT(COPWAI), STOP(PSTP,PCE), COP enable Clock Monitor WAIT(SYSWAI), STOP Oscillator Clock COP
STOP(PSTP) Gating Condition = Clock Gate Oscillator Clock (running during Pseudo-Stop Mode
Figure 4-16. System Clocks Generator
The clock generator creates the clocks used in the MCU (see Figure 4-16). The gating condition placed on top of the individual clock gates indicates the dependencies of different modes (stop, wait) and the setting of the respective configuration bits. The peripheral modules use the bus clock. Some peripheral modules also use the oscillator clock. The memory blocks use the bus clock. If the MCU enters self-clock mode (see Section 4.4.2.2, "Self-Clock Mode"), oscillator clock source is switched to PLLCLK running at its minimum frequency fSCM. The bus clock is used to generate the clock visible at the ECLK pin. The core clock signal is the clock for the CPU. The core clock is twice the bus clock as shown in Figure 4-17. But note that a CPU cycle corresponds to one bus clock. PLL clock mode is selected with PLLSEL bit in the CLKSEL register. When selected, the PLL output clock drives SYSCLK for the main system including the CPU and peripherals. The PLL cannot be turned off by clearing the PLLON bit, if the PLL clock is selected. When PLLSEL is changed, it takes a maximum
MC9S12NE64 Data Sheet, Rev 1.0 174 Freescale Semiconductor
Functional Description
of 4 OSCCLK plus 4 PLLCLK cycles to make the transition. During the transition, all clocks freeze and CPU activity ceases.
CORE CLOCK:
BUS CLOCK / ECLK
Figure 4-17. Core Clock and Bus Clock Relationship
4.4.1.3
Clock Monitor (CM)
If no OSCCLK edges are detected within a certain time, the clock monitor within the oscillator block generates a clock monitor fail event. The CRG then asserts self-clock mode or generates a system reset depending on the state of SCME bit. If the clock monitor is disabled or the presence of clocks is detected no failure is indicated by the oscillator block.The clock monitor function is enabled/disabled by the CME control bit.
4.4.1.4
Clock Quality Checker
The clock monitor performs a coarse check on the incoming clock signal. The clock quality checker provides a more accurate check in addition to the clock monitor. A clock quality check is triggered by any of the following events: * Power-on reset (POR) * Low voltage reset (LVR) * Wake-up from Full Stop Mode (exit full stop) * Clock Monitor fail indication (CM fail) A time window of 50000 VCO clock cycles1 is called check window. A number greater equal than 4096 rising OSCCLK edges within a check window is called osc ok. Note that osc ok immediately terminates the current check window. See Figure 4-18 as an example.
1.VCO clock cycles are generated by the PLL when running at minimum frequency fSCM. MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 175
Chapter 4 Clocks and Reset Generator (CRG) Block Description
check window 1 2 3 49999 50000
VCO clock OSCCLK
12345
4096 4095 osc ok
Figure 4-18. Check Window Example
The sequence for clock quality check is shown in Figure 4-19.
Clock OK POR LVR
CM fail exit full stop Clock Monitor Reset
num=0
Enter SCM yes
no SCM active?
num=50
check window
num=num+1 yes yes no SCME=1 no ?
osc ok ? yes SCM active? no
no
num<50 ?
yes
Switch to OSCCLK Exit SCM
Figure 4-19. Sequence for Clock Quality Check
MC9S12NE64 Data Sheet, Rev 1.0 176 Freescale Semiconductor
Functional Description
NOTE Remember that in parallel to additional actions caused by self-clock mode or clock monitor reset1 handling the clock quality checker continues to check the OSCCLK signal. NOTE The clock quality checker enables the PLL and the voltage regulator (VREG) anytime a clock check has to be performed. An ongoing clock quality check could also cause a running PLL (fSCM) and an active VREG during pseudo-stop mode or wait mode
4.4.1.5
Computer Operating Properly Watchdog (COP)
WAIT(COPWAI), STOP(PSTP,PCE), COP enable OSCCLK
CR[2:0] 0:0:0
CR[2:0] 0:0:1
/ 16384 /4
/4 /4 /4 /2 /2
0:1:0
0:1:1
1:0:0
1:0:1
1:1:0
gating condition
= Clock Gate
1:1:1
COP TIMEOUT
Figure 4-20. Clock Chain for COP
The COP (free running watchdog timer) enables the user to check that a program is running and sequencing properly. The COP is disabled out of reset. When the COP is being used, software is responsible for keeping the COP from timing out. If the COP times out it is an indication that the software is no longer being executed in the intended sequence; thus a system reset is initiated (see Section 4.5.2, "Computer Operating Properly Watchdog (COP) Reset)." The COP runs with a gated OSCCLK (see Section Figure 4-20., "Clock Chain for COP"). Three control bits in the COPCTL register allow selection of seven COP time-out periods. When COP is enabled, the program must write $55 and $AA (in this order) to the ARMCOP register during the selected time-out period. As soon as this is done, the COP time-out period is restarted. If the
1.A Clock Monitor Reset will always set the SCME bit to logical'1' MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 177
Chapter 4 Clocks and Reset Generator (CRG) Block Description
program fails to do this and the COP times out, the part will reset. Also, if any value other than $55 or $AA is written, the part is immediately reset. Windowed COP operation is enabled by setting WCOP in the COPCTL register. In this mode, writes to the ARMCOP register to clear the COP timer must occur in the last 25% of the selected time-out period. A premature write will immediately reset the part. If PCE bit is set, the COP will continue to run in pseudo-stop mode.
4.4.1.6
Real-Time Interrupt (RTI)
The RTI can be used to generate a hardware interrupt at a fixed periodic rate. If enabled (by setting RTIE=1), this interrupt will occur at the rate selected by the RTICTL register. The RTI runs with a gated OSCCLK (see Section Figure 4-21., "Clock Chain for RTI"). At the end of the RTI time-out period the RTIF flag is set to 1 and a new RTI time-out period starts immediately. A write to the RTICTL register restarts the RTI time-out period. If the PRE bit is set, the RTI will continue to run in pseudo-stop mode.
.
WAIT(RTIWAI), STOP(PSTP,PRE), RTI enable OSCCLK
/ 1024
RTR[6:4] 0:0:0
0:0:1
/2 /2 /2 /2 /2
gating condition
= Clock Gate
0:1:0
0:1:1
1:0:0
1:0:1
1:1:0
/2
1:1:1 4-BIT MODULUS COUNTER (RTR[3:0])
RTI TIMEOUT
Figure 4-21. Clock Chain for RTI
MC9S12NE64 Data Sheet, Rev 1.0 178 Freescale Semiconductor
Functional Description
4.4.2
4.4.2.1
Operation Modes
Normal Mode
The CRG block behaves as described within this specification in all normal modes.
4.4.2.2
Self-Clock Mode
The VCO has a minimum operating frequency, fSCM. If the external clock frequency is not available due to a failure or due to long crystal start-up time, the bus clock and the core clock are derived from the VCO running at minimum operating frequency; this mode of operation is called self-clock mode. This requires CME = 1 and SCME = 1. If the MCU was clocked by the PLL clock prior to entering self-clock mode, the PLLSEL bit will be cleared. If the external clock signal has stabilized again, the CRG will automatically select OSCCLK to be the system clock and return to normal mode. See Section 4.4.1.4, "Clock Quality Checker" for more information on entering and leaving self-clock mode. NOTE In order to detect a potential clock loss, the CME bit should be always enabled (CME=1). If CME bit is disabled and the MCU is configured to run on PLL clock (PLLCLK), a loss of external clock (OSCCLK) will not be detected and will cause the system clock to drift towards the VCO's minimum frequency fSCM. As soon as the external clock is available again the system clock ramps up to its PLL target frequency. If the MCU is running on external clock any loss of clock will cause the system to go static.
4.4.3
Low Power Options
This section summarizes the low power options available in the CRG.
4.4.3.1
Run Mode
The RTI can be stopped by setting the associated rate select bits to 0. The COP can be stopped by setting the associated rate select bits to 0.
4.4.3.2
Wait Mode
The WAI instruction puts the MCU in a low power consumption stand-by mode depending on setting of the individual bits in the CLKSEL register. All individual wait mode configuration bits can be superposed.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 179
Chapter 4 Clocks and Reset Generator (CRG) Block Description
This provides enhanced granularity in reducing the level of power consumption during wait mode. Table 4-4 lists the individual configuration bits and the parts of the MCU that are affected in wait mode.
Table 4-4. MCU Configuration During Wait Mode PLL Core System RTI COP Oscillator
1Refer
PLLWAI stopped -
CWAI stopped -
SYSWAI stopped stopped -
RTIWAI stopped -
COPWAI ROAWAI stopped reduced1
to oscillator block description for availability of a reduced oscillator amplitude.
After executing the WAI instruction the core requests the CRG to switch MCU into wait mode. The CRG then checks whether the PLLWAI, CWAI and SYSWAI bits are asserted (see Figure 4-22). Depending on the configuration the CRG switches the system and core clocks to OSCCLK by clearing the PLLSEL bit, disables the PLL, disables the core clocks and finally disables the remaining system clocks. As soon as all clocks are switched off wait mode is active.
MC9S12NE64 Data Sheet, Rev 1.0 180 Freescale Semiconductor
Functional Description
Core req's Wait Mode.
PLLWAI=1 ?
no
yes
Clear PLLSEL, Disable PLL CWAI or SYSWAI=1 ?
no
yes
Disable SYSWAI=1 ?
no no
Enter Wait Mode Wait Mode left due to external reset
Exit Wait w. ext.RESET
yes
Disable system clocks CME=1 ?
no
INT ?
yes
CM fail ?
yes no
yes
Exit Wait w. CMRESET
no
SCME=1 ?
yes no
Exit Wait Mode
SCMIE=1 ? Generate SCM Interrupt (Wakeup from Wait)
yes
Exit Wait Mode SCM=1 ?
no
yes
Enter SCM
Enter SCM
Continue w. normal OP
Figure 4-22. Wait Mode Entry/Exit Sequence
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 181
Chapter 4 Clocks and Reset Generator (CRG) Block Description
There are five different scenarios for the CRG to restart the MCU from wait mode: * External reset * Clock monitor reset * COP reset * Self-clock mode interrupt * Real-time interrupt (RTI) If the MCU gets an external reset during wait mode active, the CRG asynchronously restores all configuration bits in the register space to its default settings and starts the reset generator. After completing the reset sequence processing begins by fetching the normal reset vector. Wait mode is left and the MCU is in run mode again. If the clock monitor is enabled (CME=1) the MCU is able to leave wait mode when loss of oscillator/external clock is detected by a clock monitor fail. If the SCME bit is not asserted the CRG generates a clock monitor fail reset (CMRESET). The CRG's behavior for CMRESET is the same compared to external reset, but another reset vector is fetched after completion of the reset sequence. If the SCME bit is asserted the CRG generates a SCM interrupt if enabled (SCMIE=1). After generating the interrupt the CRG enters self-clock mode and starts the clock quality checker (see Section 4.4.1.4, "Clock Quality Checker"). Then the MCU continues with normal operation.If the SCM interrupt is blocked by SCMIE = 0, the SCMIF flag will be asserted and clock quality checks will be performed but the MCU will not wake-up from wait mode. If any other interrupt source (e.g. RTI) triggers exit from wait mode the MCU immediately continues with normal operation. If the PLL has been powered-down during wait mode the PLLSEL bit is cleared and the MCU runs on OSCCLK after leaving wait mode. The software must manually set the PLLSEL bit again, in order to switch system and core clocks to the PLLCLK. If wait mode is entered from self-clock mode, the CRG will continue to check the clock quality until clock check is successful. The PLL and voltage regulator (VREG) will remain enabled. Table 4-5 summarizes the outcome of a clock loss while in wait mode.
Table 4-5. Outcome of Clock Loss in Wait Mode (Sheet 1 of 3) CME 0 1 SCME SCMIE CRG Actions Clock failure --> X X No action, clock loss not detected. Clock failure --> 0 X CRG performs Clock Monitor Reset immediately
MC9S12NE64 Data Sheet, Rev 1.0 182 Freescale Semiconductor
Functional Description
Table 4-5. Outcome of Clock Loss in Wait Mode (Sheet 2 of 3) CME SCME SCMIE CRG Actions Clock failure --> Scenario 1: OSCCLK recovers prior to exiting Wait Mode. - MCU remains in Wait Mode, - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - Set SCMIF interrupt flag. Some time later OSCCLK recovers. - CM no longer indicates a failure, - 4096 OSCCLK cycles later Clock Quality Check indicates clock o.k., - SCM deactivated, - PLL disabled depending on PLLWAI, - VREG remains enabled (never gets disabled in Wait Mode). - MCU remains in Wait Mode. Some time later either a wakeup interrupt occurs (no SCM interrupt) - Exit Wait Mode using OSCCLK as system clock (SYSCLK), - Continue normal operation. 1 1 0 or an External Reset is applied. - Exit Wait Mode using OSCCLK as system clock, - Start reset sequence.
Scenario 2: OSCCLK does not recover prior to exiting Wait Mode. - MCU remains in Wait Mode, - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - Set SCMIF interrupt flag, - Keep performing Clock Quality Checks (could continue infinitely) while in Wait Mode. Some time later either a wakeup interrupt occurs (no SCM interrupt) - Exit Wait Mode in SCM using PLL clock (fSCM) as system clock, - Continue to perform additional Clock Quality Checks until OSCCLK is o.k. again. or an External RESET is applied. - Exit Wait Mode in SCM using PLL clock (fSCM) as system clock, - Start reset sequence, - Continue to perform additional Clock Quality Checks until OSCCLK is o.k.again.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 183
Chapter 4 Clocks and Reset Generator (CRG) Block Description
Table 4-5. Outcome of Clock Loss in Wait Mode (Sheet 3 of 3) CME SCME SCMIE CRG Actions Clock failure --> - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - SCMIF set. SCMIF generates Self-Clock Mode wakeup interrupt. - Exit Wait Mode in SCM using PLL clock (fSCM) as system clock, - Continue to perform a additional Clock Quality Checks until OSCCLK is o.k. again.
1
1
1
4.4.3.3
CPU Stop Mode
All clocks are stopped in STOP mode, dependent of the setting of the PCE, PRE and PSTP bit. The oscillator is disabled in STOP mode unless the PSTP bit is set. All counters and dividers remain frozen but do not initialize. If the PRE or PCE bits are set, the RTI or COP continues to run in pseudo-stop mode. In addition to disabling system and core clocks the CRG requests other functional units of the MCU (e.g. voltage-regulator) to enter their individual power-saving modes (if available). This is the main difference between pseudo-stop mode and wait mode. After executing the STOP instruction the core requests the CRG to switch the MCU into stop mode. If the PLLSEL bit is still set when entering stop mode, the CRG will switch the system and core clocks to OSCCLK by clearing the PLLSEL bit. Then the CRG disables the PLL, disables the core clock and finally disables the remaining system clocks. As soon as all clocks are switched off, stop mode is active. If pseudo-stop mode (PSTP=1) is entered from self-clock mode the CRG will continue to check the clock quality until clock check is successful. The PLL and the voltage regulator (VREG) will remain enabled. If full stop mode (PSTP=0) is entered from self-clock mode an ongoing clock quality check will be stopped. A complete timeout window check will be started when stop mode is left again. Wake-up from stop mode also depends on the setting of the PSTP bit.
MC9S12NE64 Data Sheet, Rev 1.0 184 Freescale Semiconductor
Functional Description Core req's Stop Mode. Clear PLLSEL, Disable PLL
Exit Stop w. ext.RESET
Wait Mode left due to external
Enter Stop Mode
no
INT ?
no
PSTP=1 ?
yes
CME=1 ?
no
INT ?
no
yes
yes
CM fail ?
yes no
no
Clock OK ?
Exit Stop w. CMRESET
no
SCME=1 ?
yes yes
Exit Stop w. CMRESET
yes
no
SCME=1 ?
yes
SCMIE=1 ? Generate SCM Interrupt (Wakeup from Stop)
no
Exit Stop Mode
yes
Exit Stop Mode SCM=1 ?
Exit Stop Mode
Exit Stop Mode
no
yes
Enter SCM
Enter SCM
Enter SCM
Continue w. normal OP
Figure 4-23. Stop Mode Entry/Exit Sequence
4.4.3.3.1
Wake-Up from Pseudo-Stop (PSTP=1)
Wake-up from pseudo-stop is the same as wake-up from wait mode. There are also three different scenarios for the CRG to restart the MCU from pseudo-stop mode: * * * External reset Clock monitor fail Wake-up interrupt
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 185
Chapter 4 Clocks and Reset Generator (CRG) Block Description
If the MCU gets an external reset during pseudo-stop mode active, the CRG asynchronously restores all configuration bits in the register space to its default settings and starts the reset generator. After completing the reset sequence processing begins by fetching the normal reset vector. Pseudo-stop mode is left and the MCU is in run mode again. If the clock monitor is enabled (CME=1) the MCU is able to leave pseudo-stop mode when loss of oscillator/external clock is detected by a clock monitor fail. If the SCME bit is not asserted the CRG generates a clock monitor fail reset (CMRESET). The CRG's behavior for CMRESET is the same compared to external reset, but another reset vector is fetched after completion of the reset sequence. If the SCME bit is asserted the CRG generates a SCM interrupt if enabled (SCMIE=1). After generating the interrupt the CRG enters self-clock mode and starts the clock quality checker (see Section 4.4.1.4, "Clock Quality Checker"). Then the MCU continues with normal operation. If the SCM interrupt is blocked by SCMIE=0, the SCMIF flag will be asserted but the CRG will not wake-up from pseudo-stop mode. If any other interrupt source (e.g. RTI) triggers exit from pseudo-stop mode the MCU immediately continues with normal operation. Because the PLL has been powered-down during stop mode the PLLSEL bit is cleared and the MCU runs on OSCCLK after leaving stop mode. The software must set the PLLSEL bit again, in order to switch system and core clocks to the PLLCLK. Table 4-6 summarizes the outcome of a clock loss while in pseudo-stop mode.
Table 4-6. Outcome of Clock Loss in Pseudo-Stop Mode (Sheet 1 of 3) CME 0 1 SCME SCMIE CRG Actions Clock failure --> X X No action, clock loss not detected. Clock failure --> 0 X CRG performs Clock Monitor Reset immediately
MC9S12NE64 Data Sheet, Rev 1.0 186 Freescale Semiconductor
Functional Description
Table 4-6. Outcome of Clock Loss in Pseudo-Stop Mode (Sheet 2 of 3) CME SCME SCMIE CRG Actions Clock Monitor failure --> Scenario 1: OSCCLK recovers prior to exiting Pseudo-Stop Mode. - MCU remains in Pseudo-Stop Mode, - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - Set SCMIF interrupt flag. Some time later OSCCLK recovers. - CM no longer indicates a failure, - 4096 OSCCLK cycles later Clock Quality Check indicates clock o.k., - SCM deactivated, - PLL disabled, - VREG disabled. - MCU remains in Pseudo-Stop Mode. Some time later either a wakeup interrupt occurs (no SCM interrupt) - Exit Pseudo-Stop Mode using OSCCLK as system clock (SYSCLK), - Continue normal operation. 1 1 0 or an External Reset is applied. - Exit Pseudo-Stop Mode using OSCCLK as system clock, - Start reset sequence.
Scenario 2: OSCCLK does not recover prior to exiting Pseudo-Stop Mode. - MCU remains in Pseudo-Stop Mode, - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - Set SCMIF interrupt flag, - Keep performing Clock Quality Checks (could continue infinitely) while in Pseudo-Stop Mode. Some time later either a wakeup interrupt occurs (no SCM interrupt) - Exit Pseudo-Stop Mode in SCM using PLL clock (fSCM) as system clock - Continue to perform additional Clock Quality Checks until OSCCLK is o.k. again. or an External RESET is applied. - Exit Pseudo-Stop Mode in SCM using PLL clock (fSCM) as system clock - Start reset sequence, - Continue to perform additional Clock Quality Checks until OSCCLK is o.k.again.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 187
Chapter 4 Clocks and Reset Generator (CRG) Block Description
Table 4-6. Outcome of Clock Loss in Pseudo-Stop Mode (Sheet 3 of 3) CME SCME SCMIE CRG Actions Clock failure --> - VREG enabled, - PLL enabled, - SCM activated, - Start Clock Quality Check, - SCMIF set. SCMIF generates Self-Clock Mode wakeup interrupt. - Exit Pseudo-Stop Mode in SCM using PLL clock (fSCM) as system clock, - Continue to perform a additional Clock Quality Checks until OSCCLK is o.k. again.
1
1
1
4.4.3.3.2
Wake-up from Full Stop (PSTP=0)
The MCU requires an external interrupt or an external reset in order to wake-up from stop mode. If the MCU gets an external reset during full stop mode active, the CRG asynchronously restores all configuration bits in the register space to its default settings and will perform a maximum of 50 clock check_windows (see Section 4.4.1.4, "Clock Quality Checker"). After completing the clock quality check the CRG starts the reset generator. After completing the reset sequence processing begins by fetching the normal reset vector. Full stop mode is left and the MCU is in run mode again. If the MCU is woken-up by an interrupt, the CRG will also perform a maximum of 50 clock check_windows (see Section 4.4.1.4, "Clock Quality Checker"). If the clock quality check is successful, the CRG will release all system and core clocks and will continue with normal operation. If all clock checks within the timeout-window are failing, the CRG will switch to self-clock mode or generate a clock monitor reset (CMRESET) depending on the setting of the SCME bit. Because the PLL has been powered-down during stop mode the PLLSEL bit is cleared and the MCU runs on OSCCLK after leaving stop mode. The software must manually set the PLLSEL bit again, in order to switch system and core clocks to the PLLCLK. NOTE In full stop mode, the clock monitor is disabled and any loss of clock will not be detected.
4.5
Resets
This section describes how to reset the CRG and how the CRG itself controls the reset of the MCU. It explains all special reset requirements. Because the reset generator for the MCU is part of the CRG, this section also describes all automatic actions that occur during or as a result of individual reset conditions. The reset values of registers and signals are provided in Section 4.3, "Memory Map and Register
MC9S12NE64 Data Sheet, Rev 1.0 188 Freescale Semiconductor
Resets
Descriptions." All reset sources are listed in Table 4-7. Refer to the device overview chapter for related vector addresses and priorities.
Table 4-7. Reset Summary Reset Source
Power-on Reset Low Voltage Reset External Reset Clock Monitor Reset COP Watchdog Reset
Local Enable
None None None PLLCTL (CME=1, SCME=0) COPCTL (CR[2:0] nonzero)
The reset sequence is initiated by any of the following events: * * * * * Low level is detected at the RESET pin (external reset). Power on is detected. Low voltage is detected. COP watchdog times out. Clock monitor failure is detected and self-clock mode was disabled (SCME=0).
Upon detection of any reset event, an internal circuit drives the RESET pin low for 128 SYSCLK cycles (see Figure 4-24). Because entry into reset is asynchronous it does not require a running SYSCLK. However, the internal reset circuit of the CRG cannot sequence out of current reset condition without a running SYSCLK. The number of 128 SYSCLK cycles might be increased by n = 3 to 6 additional SYSCLK cycles depending on the internal synchronization latency. After 128+n SYSCLK cycles the RESET pin is released. The reset generator of the CRG waits for additional 64 SYSCLK cycles and then samples the RESET pin to determine the originating source. Table 4-8 shows which vector will be fetched.
Table 4-8. Reset Vector Selection Sampled RESET Pin Clock Monitor (64 Cycles After Reset Pending Release) 1 0 1 1 1 0 0 X COP Reset Pending 0 X 1 X Vector Fetch POR / LVR / External Reset Clock Monitor Reset COP Reset POR / LVR / External Reset with rise of RESET pin
NOTE External circuitry connected to the RESET pin should not include a large capacitance that would interfere with the ability of this signal to rise to a valid logic 1 within 64 SYSCLK cycles after the low drive is released. The internal reset of the MCU remains asserted while the reset generator completes the 192 SYSCLK long reset sequence. The reset generator circuitry always makes sure the internal reset is deasserted synchronously after completion of the 192 SYSCLK cycles. In case the RESET pin is externally driven low for more than these 192 SYSCLK cycles (external reset), the internal reset remains asserted too.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 189
Chapter 4 Clocks and Reset Generator (CRG) Block Description
RESET )( )(
RESET pin released
CRG drives RESET pin low
SYSCLK
) ( 128+n cycles
possibly SYSCLK not running with n being min 3 / max 6 cycles depending on internal synchronization delay
) ( 64 cycles
) (
possibly RESET driven low
Figure 4-24. RESET Timing
4.5.1
* * *
Clock Monitor Reset
Clock monitor is enabled (CME=1) Loss of clock is detected Self-clock mode is disabled (SCME=0)
The CRG generates a clock monitor reset in case all of the following conditions are true:
The reset event asynchronously forces the configuration registers to their default settings (see Section 4.3, "Memory Map and Register Descriptions"). In detail the CME and the SCME are reset to logical `1' (which doesn't change the state of the CME bit, because it has already been set). As a consequence, the CRG immediately enters self-clock mode and starts its internal reset sequence. In parallel the clock quality check starts. As soon as clock quality check indicates a valid oscillator clock the CRG switches to OSCCLK and leaves self-clock mode. Because the clock quality checker is running in parallel to the reset generator, the CRG may leave self-clock mode while still completing the internal reset sequence. When the reset sequence is finished the CRG checks the internally latched state of the clock monitor fail circuit. If a clock monitor fail is indicated processing begins by fetching the clock monitor reset vector.
4.5.2
Computer Operating Properly Watchdog (COP) Reset
When COP is enabled, the CRG expects sequential write of $55 and $AA (in this order) to the ARMCOP register during the selected time-out period. As soon as this is done, the COP time-out period restarts. If the program fails to do this the CRG will generate a reset. Also, if any value other than $55 or $AA is written, the CRG immediately generates a reset. In case windowed COP operation is enabled writes ($55 or $AA) to the ARMCOP register must occur in the last 25% of the selected time-out period. A premature write the CRG will immediately generate a reset.
MC9S12NE64 Data Sheet, Rev 1.0 190 Freescale Semiconductor
Resets
As soon as the reset sequence is completed the reset generator checks the reset condition. If no clock monitor failure is indicated and the latched state of the COP timeout is true, processing begins by fetching the COP vector.
4.5.3
Power-On Reset, Low Voltage Reset
The on-chip voltage regulator detects when VDD to the MCU has reached a certain level and asserts power-on reset or low voltage reset or both. As soon as a power-on reset or low voltage reset is triggered the CRG performs a quality check on the incoming clock signal. As soon as clock quality check indicates a valid oscillator clock signal the reset sequence starts using the oscillator clock. If after 50 check windows the clock quality check indicated a non-valid oscillator clock the reset sequence starts using self-clock mode. Figure 4-25 and Figure 4-26 show the power-up sequence for cases when the RESET pin is tied to VDD and when the RESET pin is held low.
RESET
Clock Quality Check (no Self-Clock Mode) )(
Internal POR )( 128 SYSCLK Internal RESET )( 64 SYSCLK
Figure 4-25. RESET Pin Tied to VDD (by a Pull-Up Resistor)
RESET
Clock Quality Check (no Self-Clock Mode) )(
Internal POR )( 128 SYSCLK Internal RESET )( 64 SYSCLK
Figure 4-26. RESET Pin Held Low Externally
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 191
Chapter 4 Clocks and Reset Generator (CRG) Block Description
4.6
Interrupts
The interrupts/reset vectors requested by the CRG are listed in Table 4-9. Refer to the device overview chapter for related vector addresses and priorities.
Table 4-9. CRG Interrupt Vectors Interrupt Source
Real-time interrupt LOCK interrupt SCM interrupt
CCR Mask
I bit I bit I bit
Local Enable
CRGINT (RTIE) CRGINT (LOCKIE) CRGINT (SCMIE)
4.6.1
Real-Time Interrupt
The CRG generates a real-time interrupt when the selected interrupt time period elapses. RTI interrupts are locally disabled by setting the RTIE bit to 0. The real-time interrupt flag (RTIF) is set to1 when a timeout occurs, and is cleared to 0 by writing a 1 to the RTIF bit. The RTI continues to run during pseudo-stop mode if the PRE bit is set to 1. This feature can be used for periodic wakeup from pseudo-stop if the RTI interrupt is enabled.
4.6.2
PLL Lock Interrupt
The CRG generates a PLL lock interrupt when the LOCK condition of the PLL has changed, either from a locked state to an unlocked state or vice versa. Lock interrupts are locally disabled by setting the LOCKIE bit to 0. The PLL Lock interrupt flag (LOCKIF) is set to1 when the LOCK condition has changed, and is cleared to 0 by writing a 1 to the LOCKIF bit.
4.6.3
Self-Clock Mode Interrupt
The CRG generates a self-clock mode interrupt when the SCM condition of the system has changed, either entered or exited self-clock mode. SCM conditions can only change if the self-clock mode enable bit (SCME) is set to 1. SCM conditions are caused by a failing clock quality check after power-on reset (POR) or low voltage reset (LVR) or recovery from full stop mode (PSTP = 0) or clock monitor failure. For details on the clock quality check refer to Section 4.4.1.4, "Clock Quality Checker." If the clock monitor is enabled (CME = 1) a loss of external clock will also cause a SCM condition (SCME = 1). SCM interrupts are locally disabled by setting the SCMIE bit to 0. The SCM interrupt flag (SCMIF) is set to 1 when the SCM condition has changed, and is cleared to 0 by writing a 1 to the SCMIF bit.
MC9S12NE64 Data Sheet, Rev 1.0 192 Freescale Semiconductor
Chapter 5 Oscillator (OSC) Block Description
5.1 Introduction
The OSC module provides two alternative oscillator concepts: * a low noise and low power Colpitts oscillator with amplitude limitation control (ALC). * a robust full swing Pierce oscillator with the possibility to feed in an external square wave.
5.1.1
Features
The Colpitts OSC option provides the following features: * Amplitude Limitation Control (ALC) Loop: -- low power consumption and low current induced RF emission. -- sinusoidal waveform with low RF emission. -- low crystal stress. An external damping resistor is not required. -- normal and low amplitude mode for further reduction of power and emission. * an external biasing resistor is not required. The Pierce OSC option provides the following features: * wider high frequency operation range. * no DC voltage applied across the crystal. * full rail-to-rail (2.5 V nominal) swing oscillation with low EM susceptibility. * fast start up. Common features: * clock monitor (CM). * operation from the VDDPLL 2.5 V (nominal) supply rail.
5.1.2
Modes of Operation
Two modes of operation exist: * amplitude limitation controlled Colpitts oscillator mode suitable for power and emission critical applications. * full swing Pierce oscillator mode that can also be used to feed in an externally generated square wave suitable for high frequency operation and harsh environments.
5.2
Signal Description
This section lists and describes the signals that connect off chip.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 193
Chapter 5 Oscillator (OSC) Block Description
5.2.1
VDDPLL, VSSPLL
These pins provide the operating voltage (VDDPLL) and ground (VSSPLL) for the OSC circuitry. This allows the supply voltage to the OSC to be independently bypassed.
5.2.2
EXTAL, XTAL
These pins provide the interface for either a crystal or a CMOS compatible clock to control the internal clock generator circuitry. EXTAL is the external clock input or the input to the crystal oscillator amplifier. XTAL is the output of the crystal oscillator amplifier. All the MCU internal system clocks are derived from the EXTAL input frequency. In full stop mode (PSTP=0) the EXTAL pin is pulled down by an internal resistor of typical 200 k. NOTE Freescale Semiconductor recommends an evaluation of the application board and chosen resonator or crystal by the resonator or crystal supplier. NOTE Crystal circuit is changed from standard. Colpitts circuit is not suited for overtone resonators and crystals.
EXTAL CDC * MCU XTAL C2 VSSPLL * Due to the nature of a translated ground Colpitts oscillator a DC voltage bias is applied to the crystal Please contact the crystal manufacturer for crystal DC bias conditions and recommended capacitor value CDC. Figure 5-1. Colpitts Oscillator Connections (XCLKS=0) C1 Crystal or ceramic resonator
NOTE Pierce circuit is not suited for overtone resonators and crystals without a careful component selection.
MC9S12NE64 Data Sheet, Rev 1.0 194 Freescale Semiconductor
Memory Map and Register Descriptions
EXTAL
C3
MCU RS* RB
Crystal or ceramic resonator C4
XTAL
VSSPLL
* Rs can be zero (shorted) when used with higher frequency crystals. Refer to manufacturer's data. Figure 5-2. Pierce Oscillator Connections (XCLKS=1)
EXTAL
MCU
CMOS-COMPATIBLE EXTERNAL OSCILLATOR (Vddpll-Level)
XTAL
not connected
Figure 5-3. External Clock Connections (XCLKS=1)
5.2.3
XCLKS
The XCLKS is an input signal which controls whether a crystal in combination with the internal Colpitts (low power) oscillator is used or whether Pierce oscillator/external clock circuitry is used. The XCLKS signal is sampled during reset with the rising edge of RESET. Table 5-1 lists the state coding of the sampled XCLKS signal. Refer to the device overview chapter for polarity of the XCLKS pin.
Table 5-1. Clock Selection Based on XCLKS XCLKS
0 1
Description
Colpitts Oscillator selected Pierce Oscillator/external clock selected
5.3
Memory Map and Register Descriptions
The CRG contains the registers and associated bits for controlling and monitoring the OSC module.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 195
Chapter 5 Oscillator (OSC) Block Description
5.4
Functional Description
The OSC block has two external pins, EXTAL and XTAL. The oscillator input pin, EXTAL, is intended to be connected to either a crystal or an external clock source. The selection of Colpitts oscillator or Pierce Oscillator/external clock depends on the XCLKS signal which is sampled during reset. The XTAL pin is an output signal that provides crystal circuit feedback. A buffered EXTAL signal, OSCCLK, becomes the internal reference clock. To improve noise immunity, the oscillator is powered by the VDDPLL and VSSPLL power supply pins. The Pierce oscillator can be used for higher frequencies compared to the low power Colpitts oscillator.
5.4.1
Amplitude Limitation Control (ALC)
The Colpitts oscillator is equipped with a feedback system which does not waste current by generating harmonics. Its configuration is "Colpitts oscillator with translated ground". The transconductor used is driven by a current source under the control of a peak detector which will measure the amplitude of the AC signal appearing on EXTAL node in order to implement an Amplitude Limitation Control (ALC) loop. The ALC loop is in charge of reducing the quiescent current in the transconductor as a result of an increase in the oscillation amplitude. The oscillation amplitude can be limited to two values. The normal amplitude which is intended for non power saving modes and a small amplitude which is intended for low power operation modes. Please refer to the CRG block description chapter for the control and assignment of the amplitude value to operation modes.
5.4.2
Clock Monitor (CM)
The clock monitor circuit is based on an internal resistor-capacitor (RC) time delay so that it can operate without any MCU clocks. If no OSCCLK edges are detected within this RC time delay, the clock monitor indicates a failure which asserts self clock mode or generates a system reset depending on the state of SCME bit. If the clock monitor is disabled or the presence of clocks is detected no failure is indicated.The clock monitor function is enabled/disabled by the CME control bit, described in the CRG block description chapter.
5.5
Interrupts
OSC contains a clock monitor, which can trigger an interrupt or reset. The control bits and status bits for the clock monitor are described in the CRG block description chapter.
MC9S12NE64 Data Sheet, Rev 1.0 196 Freescale Semiconductor
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.1 Introduction
The basic timer consists of a 16-bit, software-programmable counter driven by a seven-stage programmable prescaler. This timer can be used for many purposes, including input waveform measurements while simultaneously generating an output waveform. Pulse widths can vary from microseconds to many seconds. This timer contains 4 complete input capture/output compare channels [IOC 7:4] and one pulse accumulator. The input capture function is used to detect a selected transition edge and record the time. The output compare function is used for generating output signals or for timer software delays.The 16-bit pulse accumulator is used to operate as a simple event counter or a gated time accumulator.The pulse accumulator shares timer channel 7 pin when in event mode. A full access for the counter registers or the input capture/output compare registers should take place in one clock cycle. Accessing high byte and low byte separately for all of these registers may not yield the same result as accessing them in one word.
6.1.1
* * * *
Features
Four input capture/output compare channels. Clock prescaling. 16-bit counter. 16-bit pulse accumulator.
The TIM_16B4C includes these distinctive features:
6.1.2
* * * *
Modes of Operation
STOP: Timer is off since clocks are stopped. FREEZE: Timer counter keeps running, unless TSFRZ in TSCR($06) is set to one. WAIT: Counters keeps running, unless TSWAI in TSCR ($06) is set to one. NORMAL: Timer counter keeps running, unless TEN in TSCR($06) is cleared.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 197
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.1.3
Block Diagrams
Bus clock
Prescaler
16-bit Counter
Timer overflow interrupt
Registers Timer channel 4 interrupt
Channel 4 Input capture Output compare Channel 5 Input capture Output compare Channel 6 Input capture Output compare Channel 7 Input capture Output compare
IOC4
IOC5
Timer channel 7 interrupt
IOC6
PA overflow interrupt PA input interrupt
16-bit Pulse accumulator
IOC7
Figure 6-1. Timer Block Diagram
For more information see the respective functional descriptions in Section 6.4, "Functional Description" of this document.
6.2
Signal Description
The TIM_16B4C module has a total 4 external pins.
6.2.1
IOC7 - Input capture and Output compare channel 7
This pin serves as input capture or output compare for channel 7.This pin can also be configured as pulse accumulator input.
MC9S12NE64 Data Sheet, Rev 1.0 198 Freescale Semiconductor
Memory Map and Register Descriptions
6.2.2
IOC6 - Input Capture and Output Compare Channel 6
This pin serves as input capture or output compare for channel 6.
6.2.3
IOC5 - Input Capture and Output Compare Channel 5
This pin serves as input capture or output compare for channel 5.
6.2.4
IOC4 - Input Capture and Output Compare Channel 4
NOTE For the description of interrupts Section 6.6, "Interrupts."
This pin serves as input capture or output compare for channel 4.
6.3
Memory Map and Register Descriptions
This section provides a detailed description of all memory and registers.
6.3.1
Module Memory Map
The memory map for the TIM_16B4C module is given below in Table 6-1. The address listed for each register is the address offset. The total address for each register is the sum of the base address for the TIM_16B4C module and the address offset for each register.
Table 6-1. TIM Module Memory Map
Address Offset $_00 $_01 $_02 $_03 $_04 $_05 $_06 $_07 $_08 $_09 $_0A $_0B $_0C $_0D $_0E $_0F Use Timer Input Capture/Output Compare Select (TIOS) Timer Compare Force Register (CFORC) Output Compare 7 Mask Register (OC7M) Output Compare 7 Data Register (OC7D) Timer Count Register (TCNT(hi)) Timer Count Register (TCNT(lo)) Timer System Control Register1 (TSCR1) Timer Toggle Overflow Register (TTOV) Timer Control Register1 (TCTL1) Reserved Timer Control Register3 (TCTL3) Reserved Timer Interrupt Enable Register (TIE) Timer System Control Register2 (TSCR2) Main Timer Interrupt Flag1 (TFLG1) Main Timer Interrupt Flag2 (TFLG2) Access R/W R/W1 R/W R/W R/W2 R/W2 R/W R/W R/W Write has no effect Read returns zero R/W Write has no effect Read returns zero R/W R/W R/W R/W
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 199
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
Table 6-1. TIM Module Memory Map (Continued)
Address Offset $_10 - $_17 $_18 $_19 $_1A $_1B $_1C $_1D $_1E $_1F $_20 $_21 $_22 $_23 $_24 - $_2C $_2D $-2E - $_2F Use Reserved Timer Input Capture/Output Compare Register4 (TC4(hi)) Timer Input Capture/Output Compare Register4 (TC4(lo)) Timer Input Capture/Output Compare Register5 (TC5(hi)) Timer Input Capture/Output Compare Register5 (TC5(lo)) Timer Input Capture/Output Compare Register6 (TC6(hi)) Timer Input Capture/Output Compare Register6 (TC6(lo)) Timer Input Capture/Output Compare Register7 (TC7(hi)) Timer Input Capture/Output Compare Register7 (TC7(lo)) 16-Bit Pulse Accumulator Control Register (PACTL) Pulse Accumulator Flag Register (PAFLG) Pulse Accumulator Count Register (PACNT(hi)) Pulse Accumulator Count Register (PACNT(lo)) Reserved Timer Test Register (TIMTST) Reserved Access Write has no effect Read returns zero R/W3 R/W3 R/W3 R/W3 R/W3 R/W3 R/W3 R/W3 R/W R/W R/W R/W Write has no effect Read returns zero Read/Write2 Write has no effect Read returns zero
1. Always read $00. 2. Only writable in special modes. (Refer to the Device overview chapter for different modes). 3. Write to these registers have no meaning or effect during input capture.
6.3.2
Register Descriptions
This section consists of register descriptions in address order.Each description includes a standard register diagram with an associated figure number. Details of register bit and field function follow the register diagrams, in bit order.
6.3.2.1
Timer Input Capture/Output Compare Select (TIOS)
Bit 7 6 IOS6 0 = Reserved 5 IOS5 0 4 IOS4 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $0
R W RESET: IOS7 0
Figure 6-2. Timer Input Capture/Output Compare Select (TIOS)
Read or write anytime.
MC9S12NE64 Data Sheet, Rev 1.0 200 Freescale Semiconductor
Memory Map and Register Descriptions
IOS[7:4] -- Input Capture or Output Compare Channel Configuration 1 = The corresponding channel acts as an output compare. 0 = The corresponding channel acts as an input capture.
6.3.2.2
Timer Compare Force Register (CFORC)
Bit 7 R W 0 FOC7 0 6 0 FOC6 0 = Reserved 5 0 FOC5 0 4 0 FOC4 0 0 0 0 0 3 0 2 0 1 0 Bit 0 0
Module Base + $1
RESET:
Figure 6-3. Timer Compare Force Register (CFORC)
Read anytime but will always return $00. Write anytime. FOC[7:4] -- Force Output Compare Action for Channel 7-4 1 = Force Output Compare Action enabled 0 = Force Output Compare Action disabled A write to this register with the corresponding (FOC 7:4) data bit(s) set causes the action programmed for output compare on channel "n" to occur immediately.The action taken is the same as if a successful comparison had just taken place with the TCn register except the interrupt flag does not get set. NOTE A successful channel 7 output compare overrides any channel 6:4 compares. If forced output compare on any channel occurs at the same time as the successful output compare then forced output compare action will take precedence and interrupt flag will not get set.
6.3.2.3
Output Compare 7 Mask Register (OC7M)
Bit 7 R W OC7M7 0 6 OC7M6 0 = Reserved 5 OC7M5 0 4 OC7M4 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $2
RESET:
Figure 6-4. Output Compare 7 Mask Register (OC7M)
Read or write anytime. OC7Mn -- Output Compare 7 Mask "n" Channel bit 1 = sets the corresponding port to be an output port when this corresponding TIOS bit is set to be an output compare 0 = does not set the corresponding port to be an output port
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 201
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
Setting the OC7Mn (n ranges from 4 to 6) will set the corresponding port to be an output port when the corresponding TIOSn (n ranges from 4 to 6) bit is set to be an output compare. NOTE A successful channel 7 output compare overrides any channel 6:4 compares.For each OC7M bit that is set, the output compare action reflects the corresponding OC7D bit.
6.3.2.4
Output Compare 7 Data Register (OC7D)
Bit 7 R W OC7D7 0 6 OC7D6 0 = Reserved 5 OC7D5 0 4 OC7D4 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $3
RESET:
Figure 6-5. Output Compare 7 Data Register (OC7D)
Read or write anytime. OC7Dn -- Output Compare 7 Data for Channel "n" A channel 7 output compare will cause bits in the output compare 7 data register to transfer to the timer port data register if the corresponding output compare 7 mask register bits are set.
6.3.2.5
Timer Count Register (TCNT)
Bit 15 14 tcnt 14 0 13 tcnt 13 0 12 tcnt 12 0 11 tcnt 11 0 10 tcnt 10 0 9 tcnt 9 0 8 tcnt 8 0 7 tcnt 7 0 6 tcnt 6 0 5 tcnt 5 0 4 tcnt 4 0 3 tcnt 3 0 2 tcnt 2 0 1 tcnt 1 0 Bit 0 tcnt t 0 0
Module Base + $4 through $5
R W RESET: tcnt 15 0
Figure 6-6. Timer Count Register (TCNT)
Read anytime. Writable only in special mode (refer to the device overview chapter for special modes). The 16-bit main timer is an up counter. A full access for the counter register should take place in one clock cycle. A separate read/write for high byte and low byte will give a different result than accessing them as a word. The period of the first count after a write to the TCNT registers may be a different length because the write is not synchronized with the prescaler clock.
MC9S12NE64 Data Sheet, Rev 1.0 202 Freescale Semiconductor
Memory Map and Register Descriptions
6.3.2.6
Timer System Control Register 1 (TSCR1)
Bit 7 6 TSWAI 0 = Reserved 5 TSFRZ 0 4 TFFCA 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $6
R W RESET:
TEN 0
Figure 6-7. Timer System Control Register 1 (TSCR1)
Read or write anytime. TEN -- Timer Enable 1 = Enables the timer. 0 = Disables the timer. (Used for reducing power consumption). If for any reason the timer is not active, there is no divide by 64 clock for the pulse accumulator since the divide by 64 clock is generated by the timer prescaler. TSWAI -- Timer Module Stops While in Wait 1 = Disables the timer and pulse accumulator when the MCU is in the wait mode. Timer interrupts cannot be used to get the MCU out of wait mode. 0 = Allows the timer and the pulse accumulator to continue running during the wait mode. TSFRZ -- Timer Stops While in Freeze Mode 1 = Disables the timer counter whenever the MCU is in freeze mode. This is useful for emulation. 0 = Allows the timer counter to continue running while in freeze mode. TSFRZ does not stop the pulse accumulator. TFFCA -- Timer Fast Flag Clear All 1 = For TFLG1 register, a read from an input capture or a write to the output compare channel [TC 7:4] causes the corresponding channel flag, CnF, to be cleared.For TFLG2 register, any access to the TCNT register clears the TOF flag.Any access to the PACNT registers clears the PAOVF and PAIF bits in the PAFLG register. This has the advantage of eliminating software overhead in a separate clear sequence. Extra care is required to avoid accidental flag clearing due to unintended accesses. 0 = Allows the timer flag clearing.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 203
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.3.2.7
Timer Toggle On Overflow Register 1 (TTOV)
Bit 7 R TOV7 W TOV6 0 = Reserved TOV5 0 TOV4 0 0 0 0 0 6 5 4 3 0 2 0 1 0 Bit 0 0
Module Base + $7
RESET
0
Figure 6-8. Timer Toggle On Overflow Register 1 (TTOV)
Read or write anytime. TOVn -- Toggle On Overflow Bits 1 = Toggle output compare pin on overflow feature enabled. 0 = Toggle output compare pin on overflow feature disabled. TOVn toggles output compare pin on overflow. This feature only takes effect when the corresponding channel is configured for an output compare mode. When set, an overflow toggle on the output compare pin takes precedence over forced output compare but not channel 7 override events.
6.3.2.8
Timer Control Register 1 (TCTL1)
Bit 7 R OM7 W OL7 0 OM6 0 OL6 0 OM5 0 OL5 0 OM4 0 OL4 0 6 5 4 3 2 1 Bit 0
Module Base + $8
RESET
0
Figure 6-9. Timer Control Register 1 (TCTL1)
Read or write anytime. OMn -- Output Mode bit OLn -- Output Level bit. These four pairs of control bits are encoded to specify the output action to be taken as a result of a successful Output Compare on "n" channel. When either OMn or OLn is one, the pin associated with the corresponding channel becomes an output tied to its IOC. To enable output action by OMn and OLn bits on timer port, the corresponding bit in OC7M should be cleared.
Table 6-2. Compare Result Output Action
OMn 0 0 OLn 0 1 Action Timer disconnected from output pin logic Toggle OCn output line
MC9S12NE64 Data Sheet, Rev 1.0 204 Freescale Semiconductor
Memory Map and Register Descriptions
Table 6-2. Compare Result Output Action
OMn 1 1 OLn 0 1 Action Clear OCn output line to zero Set OCn output line to one
To operate the 16-bit pulse accumulator independently of input capture or output compare 7 and 4 respectively the user must set the corresponding bits IOSn = 1, OMn = 0, OLn = 0 and OC7M7 = 0
6.3.2.9
Timer Control Register 3 (TCTL3)
Bit 7 R EDG7B W EDG7A 0 EDG6B 0 EDG6A 0 EDG5B 0 EDG5A 0 EDG4B 0 EDG4A 0 6 5 4 3 2 1 Bit 0
Module Base + $A
RESET
0
Figure 6-10. Timer Control Register 3 (TCTL3)
Read or write anytime. EDGnB, EDGnA -- Input Capture Edge Control These four pairs of control bits configure the input capture edge detector circuits.
Table 6-3. Edge Detector Circuit Configuration
EDGnB 0 0 1 1 EDGnA 0 1 0 1 Configuration Capture disabled Capture on rising edges only Capture on falling edges only Capture on any edge (rising or falling)
6.3.2.10
Timer Interrupt Enable Register (TIE)
Bit 7 6 C6I 0 = Reserved 5 C5I 0 4 C4I 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $C
R W RESET:
C7I 0
Figure 6-11. Timer Interrupt Enable Register (TIE)
Read or write anytime.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 205
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
C7I-C4I -- Input Capture/Output Compare Interrupt Enable. 1 = Enables corresponding Interrupt flag (CnF of TFLG1 register) to cause a hardware interrupt 0 = Disables corresponding Interrupt flag (CnF of TFLG1 register) from causing a hardware interrupt
6.3.2.11
Timer System Control Register 2 (TSCR2)
Bit 7 6 0 0 = Reserved 5 0 0 4 0 0 3 TCRE 0 2 PR2 0 1 PR1 0 Bit 0 PR0 0
Module Base + $D
R W RESET:
TOI 0
Figure 6-12. Timer System Control Register 2 (TSCR2)
Read or write anytime. TOI -- Timer Overflow Interrupt Enable 1 = Hardware interrupt requested when TOF flag set in TFLG2 register. 0 = Hardware Interrupt request inhibited. TCRE -- Timer Counter Reset Enable 1 = Enables Timer Counter reset by a successful output compare on channel 7 0 = Inhibits Timer Counter reset and counter continues to run. This mode of operation is similar to an up-counting modulus counter. If register TC7 = $0000 and TCRE = 1, the timer counter register (TCNT) will stay at $0000 continuously. If register TC7 = $FFFF and TCRE = 1, TOF will not be set when the timer counter register (TCNT) is reset from $FFFF to $0000. PR2, PR1, PR0 -- Timer Prescaler Select These three bits select the frequency of the timer prescaler clock derived from the Bus Clock as shown in Table 6-4.
Table 6-4. Timer Clock Selection
PR2 0 0 0 0 1 1 1 1 PR1 0 0 1 1 0 0 1 1 PR0 0 1 0 1 0 1 0 1 Timer Clock Bus Clock / 1 Bus Clock / 2 Bus Clock / 4 Bus Clock / 8 Bus Clock / 16 Bus Clock / 32 Bus Clock / 64 Bus Clock / 128
MC9S12NE64 Data Sheet, Rev 1.0 206 Freescale Semiconductor
Memory Map and Register Descriptions
The newly selected prescale factor will not take effect until the next synchronized edge where all prescale counter stages equal zero.
6.3.2.12
Main Timer Interrupt Flag 1 (TFLG1)
Bit 7 6 C6F 0 = Reserved 5 C5F 0 4 C4F 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $E
R W RESET:
C7F 0
Figure 6-13. Main Timer Interrupt Flag 1 (TFLG1)
Read anytime. C7F-C4F -- Input Capture/Output Compare Channel Flag. 1 = Input Capture or Output Compare event occurred 0 = No event (Input Capture or Output Compare event) occurred. These flags are set when an input capture or output compare event occurs. Flag set on a particular channel is cleared by writing a one to that corresponding CnF bit. Writing a zero to CnF bit has no effect on its status. When TFFCA bit in TSCR register is set, a read from an input capture or a write into an output compare channel will cause the corresponding channel flag CnF to be cleared.
6.3.2.13
Main Timer Interrupt Flag 2 (TFLG2)
Bit 7 6 0 0 5 0 0 4 0 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Module Base + $F
R W RESET:
TOF 0
= Unimplemented or Reserved
Figure 6-14. Main Timer Interrupt Flag 2 (TFLG2)
Read anytime. TOF -- Timer Overflow Flag 1 = Flag indicates that an Interrupt has occurred (Set when 16-bit free-running timer counter overflows from $FFFF to $0000) 0 = Flag indicates an Interrupt has not occurred. The TFLG2 register indicates when an interrupt has occurred. Writing a one to the TOF bit will clear it. Any access to TCNT will clear TOF bit of TFLG2 register if the TFFCA bit in TSCR register is set.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 207
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.3.2.14
Timer Input Capture/Output Compare Registers (TC4 - TC7)
Bit 15 14 tc4 14 0 13 tc4 13 0 12 tc4 12 0 11 tc4 11 0 10 tc4 10 0 9 tc4 9 0 8 tc4 8 0 7 tc4 7 0 6 tc4 6 0 5 tc4 5 0 4 tc4 4 0 3 tc4 3 0 2 tc4 2 0 1 tc4 1 0 Bit 0 tc4 0 0
C4 -- Module Base + $18 through $19
R W RESET tc4 15 0
TC5 -- Module Base + $1A through $1B
Bit 15 R W RESET tc5 15 0 14 tc5 14 0 13 tc5 13 0 2 tc5 12 0 11 tc5 11 0 10 tc5 10 0 9 tc5 9 0 8 tc5 8 0 7 tc5 7 0 6 tc5 6 0 5 tc5 5 0 4 tc5 4 0 3 tc5 3 0 2 tc5 2 0 1 tc5 1 0 Bit 0 tc5 0 0
TC6 -- Module Base + $1C through $1D
Bit 15 R W RESET tc6 15 0 14 tc6 14 0 13 tc6 13 0 12 tc6 12 0 11 tc6 11 0 10 tc6 10 0 9 tc6 9 0 8 tc6 8 0 7 tc6 7 0 6 tc6 6 0 5 tc6 5 0 4 tc6 4 0 3 tc6 3 0 2 tc6 2 0 1 tc6 1 0 Bit 0 tc6 0 0
TC7 -- Module Base + $1E through $1F
Bit 15 R W RESET tc7 15 0 14 tc7 14 0 13 tc7 13 0 2 tc7 12 0 11 tc7 11 0 0 tc7 10 0 9 tc7 9 0 8 tc7 8 0 7 tc7 7 0 6 tc7 6 0 5 tc7 5 0 4 tc7 4 0 3 tc7 3 0 2 tc7 2 0 1 tc7 1 0 Bit 0 tc7 0 0
Figure 6-15. Timer Input Capture/Output Compare Registers (TC4 - TC7)
Read anytime. Write anytime for output compare function. Writes to these registers have no effect during input capture. Depending on the TIOS bit for the corresponding channel, these registers are used to latch the value of the free-running counter when a defined transition is sensed by the corresponding input capture edge detector or to trigger an output action for output compare. NOTE Read/Write access in byte mode for high byte should takes place before low byte otherwise it will give a different result.
MC9S12NE64 Data Sheet, Rev 1.0 208 Freescale Semiconductor
Memory Map and Register Descriptions
6.3.2.15
16-Bit Pulse Accumulator Control Register (PACTL)
BIT 7 6 PAEN 0 = Reserved 5 PAMOD 0 4 PEDGE 0 3 CLK1 0 2 CLK0 0 1 PAOVI 0 BIT 0 PAI 0
Module Base + $20
R W RESET: 0 0
Figure 6-16. 16-Bit Pulse Accumulator Control Register (PACTL)
Read: any time Write: any time PAEN -- Pulse Accumulator System Enable 1 = Pulse Accumulator system enabled. 0 = Pulse Accumulator system disabled. PAEN is independent from TEN. With timer disabled, the pulse accumulator can still function unless pulse accumulator is disabled. When PAEN is set, the PACT is enabled.The PACT shares the input pin with IOC7. PAMOD -- Pulse Accumulator Mode This bit is active only when the Pulse Accumulator is enabled (PAEN = 1). 1 = gated time accumulation mode. 0 = event counter mode. PEDGE -- Pulse Accumulator Edge Control This bit is active only when the Pulse Accumulator is enabled (PAEN = 1). For PAMOD bit = 0 (event counter mode). 1 = rising edges on IOC7 pin cause the count to be incremented. 0 = falling edges on IOC7 pin cause the count to be incremented. For PAMOD bit = 1 (gated time accumulation mode). 1 = IOC7 input pin low enables M (bus clock) divided by 64 clock to Pulse Accumulator and the trailing rising edge on IOC7 sets the PAIF flag. 0 = IOC7 input pin high enables M (bus clock) divided by 64 clock to Pulse Accumulator and the trailing falling edge on IOC7 sets the PAIF flag.
Table 6-5. Pin Action
PAMOD 0 0 1 1 PEDGE 0 1 0 1 Pin Action Falling edge Rising edge Div. by 64 clock enabled with pin high level Div. by 64 clock enabled with pin low level
If the timer is not active (TEN = 0 in TSCR), there is no divide-by-64 since the divide by 64 clock is generated by the timer prescaler.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 209
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
CLK1, CLK0 -- Clock Select Bits
Table 6-6. Timer Clock Selection
CLK1 0 0 1 1 CLK0 0 1 0 1 Timer Clock Use timer prescaler clock as timer counter clock Use PACLK as input to timer counter clock Use PACLK/256 as timer counter clock frequency Use PACLK/65536 as timer counter clock frequency
For the description of PACLK please refer Figure 6-20. If the pulse accumulator is disabled (PAEN = 0), the prescaler clock from the timer is always used as an input clock to the timer counter. The change from one selected clock to the other happens immediately after these bits are written. PAOVI -- Pulse Accumulator Overflow Interrupt enable 1 = interrupt requested if PAOVF bit of PFLG register is set. 0 = interrupt inhibited. PAI -- Pulse Accumulator Input Interrupt enable 1 = interrupt requested if PAIF bit of PAFLG register is set. 0 = interrupt inhibited.
6.3.2.16
Pulse Accumulator Flag Register (PAFLG)
BIT 7 6 0 0 = Reserved 5 0 0 4 0 0 3 0 0 2 0 0 1 PAOVF 0 BIT 0 PAIF 0
Module Base + $21
R W RESET: 0 0
Figure 6-17. Pulse Accumulator Flag Register (PAFLG)
Read or write anytime. PAOVF -- Pulse Accumulator Overflow Flag 1 = Flag indicates overflow condition of pulse accumulator counter from $FFFF to $0000. 0 = Flag indicates that there is no overflow condition of pulse accumulator counter. Writing a one to this bit clears it, writing a zero has not effect. PAIF -- Pulse Accumulator Input edge Flag 1 = Flag indicates that the selected edge is detected on the IOC7 input pin. 0 = Flag indicates that no selected edge has been detected on the IOC7 input pin. In event mode the event edge triggers PAIF and in gated time accumulation mode the trailing edge of the gate signal at the IOC7 input pin triggers PAIF. Writing a one to this bit clears it, writing a zero has not effect. Any access to the PACNT register will clear all the flags in this register when TFFCA bit of TSCR register is set.
MC9S12NE64 Data Sheet, Rev 1.0 210 Freescale Semiconductor
Functional Description
6.3.2.17
Pulse Accumulators Count Registers (PACNT)
Bit 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 Bit 0
Module Base + $22 through $23
R W RESET: pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt pacnt 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 6-18. Pulse Accumulators Count Registers (PACNT)
Read or write any time. When PACNT overflows from $FFFF to $0000, the PAOVF bit of PAFLG register is set. These registers contain the number of active input edges on TOC7 input pin since the last reset. Full count register access should take place in one clock cycle. A separate read/write for high byte and low byte will give a different result than accessing them as a word. NOTE Reading the pulse accumulator counter registers immediately after an active edge on the pulse accumulator input pin may miss the last count since the input has to be synchronized with the bus clock first.
6.4
Functional Description
This section provides a complete functional description of the timer TIM_16B4C block. Please refer to the detailed timer block diagram in Figure 6-20 as necessary.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 211
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
Bus Clock
CLK[1:0] PR[2:1:0] PACLK PACLK/256 PACLK/65536
channel 7 output compare
MUX TCRE CxI CxF
PRESCALER
TCNT(hi):TCNT(lo) CLEAR COUNTER 16-BIT COUNTER TE CHANNEL 4 16-BIT COMPARATOR TC4 EDG4A EDG4B EDGE DETECT C4F OM:OL4 TOV4
TOF TOI
INTERRUPT LOGIC
TOF
C4F
CH. 4 CAPTURE
IOC4 PIN LOGIC CH. 4 COMPARE IOC4 PIN
IOC4
CHANNEL7 16-BIT COMPARATOR TC7 EDG7A EDG7B EDGE DETECT C7F OM:OL7 TOV7
C7F
CH.7 CAPTURE IOC7 PIN PA INPUT LOGIC CH. 7 COMPARE IOC7 PIN
IOC7
PAOVF
PACNT(hi):PACNT(lo)
PEDGE PAE
EDGE DETECT
PACLK/65536 PACLK/256 INTERRUPT REQUEST PAOVI PAOVF
16-BIT COUNTER PACLK PAMOD INTERRUPT LOGIC DIVIDE-BY-64 PAI PAIF PAIF
Bus Clock
PAOVF PAOVI
Figure 6-19. Detailed Timer Block Diagram
6.4.1
Prescaler
The prescaler divides the bus clock by 1,2,4,8,16,32,64 or 128. The prescaler select bits, PR[2:0], select the prescaler divisor. PR[2:0] are in timer system control register 2 (TSCR2).
MC9S12NE64 Data Sheet, Rev 1.0 212 Freescale Semiconductor
Functional Description
6.4.2
Input Capture
Clearing the I/O (input/output) select bit, IOSn, configures channel n as an input capture channel. The input capture function captures the time at which an external event occurs. When an active edge occurs on the pin of an input capture channel, the timer transfers the value in the timer counter into the timer channel registers, TCn. The minimum pulse width for the input capture input is greater than two bus clocks. An input capture on channel n sets the CnF flag. The CnI bit enables the CnF flag to generate interrupt requests.
6.4.3
Output Compare
Setting the I/O select bit, IOSn, configures channel n as an output compare channel. The output compare function can generate a periodic pulse with a programmable polarity, duration, and frequency. When the timer counter reaches the value in the channel registers of an output compare channel, the timer can set, clear, or toggle the channel pin. An output compare on channel n sets the CnF flag. The CnI bit enables the CnF flag to generate interrupt requests. The output mode and level bits, OMn and OLn, select set, clear, toggle on output compare. Clearing both OMn and OLn disconnects the pin from the output logic. Setting a force output compare bit, FOCn, causes an output compare on channel n. A forced output compare does not set the channel flag. A successful output compare on channel 7 overrides output compares on all other output compare channels. The output compare 7 mask register masks the bits in the output compare 7 data register. The timer counter reset enable bit, TCRE, enables channel 7 output compares to reset the timer counter. A channel 7 output compare can reset the timer counter even if the IOC7 pin is being used as the pulse accumulator input. Writing to the timer port bit of an output compare pin does not affect the pin state. The value written is stored in an internal latch. When the pin becomes available for general-purpose output, the last value written to the bit appears at the pin.
6.4.4
Pulse Accumulator
The pulse accumulator (PACNT) is a 16-bit counter that can operate in two modes: Event counter mode --- Counting edges of selected polarity on the pulse accumulator input pin, IOC7. Gated time accumulation mode --- Counting pulses from a divide-by-64 clock. The PAMOD bit selects the mode of operation. The minimum pulse width for the PAI input is greater than two bus clocks.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 213
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
PT7 PAIF PIN LOGIC PIN
16 BIT CNTR
DATA BUS
PA COUNT REG
DIVIDE BY 64
BUS CLOCK
PA CNTRL REG
Figure 6-20. Pulse Accumulator System Block Diagram
6.4.4.1
Event Counter Mode
Clearing the PAMOD bit configures the PACNT for event counter operation. An active edge on the IOC7 pin increments the pulse accumulator counter. The PEDGE bit selects falling edges or rising edges to increment the count. NOTE The PACNT input and timer channel 7 use the same pin IOC7. To use the IOC7, disconnect it from the output logic by clearing the channel 7 output mode and output level bits, OM7 and OL7. Also clear the channel 7 output compare 7 mask bit, OC7M7. The Pulse Accumulator counter register reflect the number of active input edges on the PACNT input pin, IOC7 since the last reset. The PAOVF bit is set when the accumulator rolls over from $FFFF to $0000. The pulse accumulator overflow interrupt enable bit, PAOVI, enables the PAOVF flag to generate interrupt requests. NOTE The pulse accumulator counter can operate in event counter mode even when the timer enable bit, TEN, is clear.
MC9S12NE64 Data Sheet, Rev 1.0 214 Freescale Semiconductor
Resets
6.4.4.2
Gated Time Accumulation Mode
Setting the PAMOD bit configures the pulse accumulator for gated time accumulation operation. An active level on the PACNT input pin enables a divided-by-64 clock to drive the pulse accumulator. The PEDGE bit selects low levels or high levels to enable the divided-by-64 clock. The trailing edge of the active level at the IOC7 pin sets the PAIF. The PAI bit enables the PAIF flag to generate interrupt requests. The pulse accumulator counter register reflect the number of pulses from the divided-by-64 clock since the last reset. NOTE The timer prescaler generates the divided-by-64 clock. If the timer is not active, there is no divided-by-64 clock.
6.5
Resets
The reset state of each individual bit is listed within the Register Description section Section 6.3, "Memory Map and Register Descriptions," which details the registers and their bit-fields.
6.6
Interrupts
This section describes interrupts originated by the TIM_16B4C block. Table 6-7 lists the interrupts generated by the TIM_16B4C to communicate with the MCU.
Table 6-7. TIM_16B4C Interrupts
Interrupt C[7:4]F PAOVI PAOVF TOF
1
Offset1 -- -- -- --
Vector1 -- -- -- --
Priority1 -- -- -- --
Source Timer Channel 7-4 Pulse Accumulator Input Pulse Accumulator Overflow Timer Overflow
Description Active high timer channel interrupts 7-4 Active high pulse accumulator input interrupt Pulse accumulator overflow interrupt Timer Overflow interrupt
Refer to the Device Overview Chapter for information regarding offset, vector, and priority.
The TIM_16B4C uses a total of 7 interrupt vectors. The interrupt vector offsets and interrupt numbers are chip dependent. More information on interrupt vector offsets and interrupt numbers can be found in the device overview chapter.
6.6.1
Channel [7:4] Interrupt
These active high outputs is asserted by the module to request a timer channel 7 - 4 interrupt following an input capture or output compare event on these channels [7-4]. For the interrupt to be asserted on a specific channel, the enable, CnI bit of TIE register should be set. These interrupts are serviced by the system controller.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 215
Chapter 6 16-Bit, 4-Channel Timer (TIM_16B4C) Block Description
6.6.2
Pulse Accumulator Input Interrupt
This active high output is asserted by the module to request a timer pulse accumulator input interrupt following the configured event on IOC7 input pin (in either modes, event mode and time accumulation mode) when pulse accumulator input interrupt enable, PAI bit in PACTL register is set. This interrupt is serviced by the system controller.
6.6.3
Pulse Accumulator Overflow Interrupt
This active high output will be asserted by the module to request a timer pulse accumulator overflow interrupt, following the timer pulse accumulator counter overflow, when the pulse accumulator overflow enable bit, PAOVI of PACTL register is set. This interrupt is serviced by the system controller.
6.6.4
Timer Overflow Interrupt (TOF)
This active high output will be asserted by the module to request a timer overflow interrupt, following the timer counter overflow when the overflow enable bit (TOI) bit of TFLG2 register is set. This interrupt is serviced by the system controller.
MC9S12NE64 Data Sheet, Rev 1.0 216 Freescale Semiconductor
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.1 Introduction
The ATD_10B8C is an 8-channel, 10-bit, multiplexed input successive approximation analog-to-digital converter. Refer to device electrical specifications for ATD accuracy.
7.1.1
* * * * * * * * * * * * *
Features
8/10 Bit Resolution. 7 sec, 10-Bit Single Conversion Time. Sample Buffer Amplifier. Programmable Sample Time. Left/Right Justified, Signed/Unsigned Result Data. External Trigger Control. Conversion Completion Interrupt Generation. Analog Input Multiplexer for 8 Analog Input Channels. Analog/Digital Input Pin Multiplexing. 1 to 8 Conversion Sequence Lengths. Continuous Conversion Mode. Multiple Channel Scans. Configurable external trigger functionality on any AD channel or any of four additional external trigger inputs. The four additional trigger inputs can be chip external or internal. Refer to the device overview chapter for availability and connectivity. Configurable location for channel wrap around (when converting multiple channels in a sequence).
*
7.1.2
7.1.2.1
Modes of Operation
Conversion Modes
There is software programmable selection between performing single or continuous conversion on a single channel or multiple channels.
7.1.2.2
*
MCU Operating Modes
Stop Mode Entering Stop Mode causes all clocks to halt and thus the system is placed in a minimum power standby mode. This aborts any conversion sequence in progress. During recovery from Stop Mode,
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 217
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
*
*
there must be a minimum delay for the Stop Recovery Time tSR before initiating a new ATD conversion sequence. Wait Mode Entering Wait Mode the ATD conversion either continues or aborts for low power depending on the logical value of the AWAIT bit. Freeze Mode In Freeze Mode the ATD_10B8C will behave according to the logical values of the FRZ1 and FRZ0 bits. This is useful for debugging and emulation.
MC9S12NE64 Data Sheet, Rev 1.0 218 Freescale Semiconductor
Signal Description
7.1.3
Block Diagram
Bus Clock Clock Prescaler Trigger Mux ATD clock
ATD_10B8C
ETRIG0 ETRIG1 ETRIG2 ETRIG3
(see Device Overview chapter for availability and connectivity)
Sequence Complete Mode and Interrupt Timing Control
ATDCTL1 ATDDIEN
VDDA VSSA VRH VRL
PORTAD Successive Approximation Register (SAR) and DAC
Results
ATD 0 ATD 1 ATD 2 ATD 3 ATD 4 ATD 5 ATD 6 ATD 7
AN7 AN6 AN5 AN4 AN3 AN2 AN1 AN0
Analog MUX
+ Sample & Hold 1 1 Comparator
Figure 7-1. ATD_10B8C Block Diagram
7.2
Signal Description
This section lists all inputs to the ATD_10B8C block.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 219
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.2.1
ANx (x = 7, 6, 5, 4, 3, 2, 1, 0)
This pin serves as the analog input Channel x. It can also be configured as general purpose digital input and/or external trigger for the ATD conversion.
7.2.2
ETRIG3, ETRIG2, ETRIG1, ETRIG0
These inputs can be configured to serve as an external trigger for the ATD conversion. Refer to the device overview chapter for availability and connectivity of these inputs.
7.2.3
VRH, VRL
VRH is the high reference voltage and VRL is the low reference voltage for ATD conversion.
7.2.4
VDDA, VSSA
These pins are the power supplies for the analog circuitry of the ATD_10B8C block.
7.3
Memory Map and Register Descriptions
This section provides a detailed description of all registers accessible in the ATD_10B8C.
7.3.1
Module Memory Map
Table 7-1. ATD Module Memory Map Address Offset
$_00 $_01 $_02 $_03 $_04 $_05 $_06 $_07 $_08 $_09 $_0A $_0B $_0C $_0D $_0E $_0F $_10, $_11
Table 7-1 gives an overview of all ATD_10B8C registers.
Use
ATD Control Register 0 (ATDCTL0) ATD Control Register 1 (ATDCTL1) ATD Control Register 2 (ATDCTL2) ATD Control Register 3 (ATDCTL3) ATD Control Register 4 (ATDCTL4) ATD Control Register 5 (ATDCTL5) ATD Status Register 0 (ATDSTAT0) Unimplemented ATD Test Register 0 (ATDTEST0)1 ATD Test Register 1 (ATDTEST1) Unimplemented ATD Status Register 1 (ATDSTAT1) Unimplemented ATD Input Enable Register (ATDDIEN) Unimplemented Port Data Register (PORTAD) ATD Result Register 0 (ATDDR0H, ATDDR0L) R R/W R/W R R R/W
Access
R/W R/W R/W R/W R/W R/W R/W
MC9S12NE64 Data Sheet, Rev 1.0 220 Freescale Semiconductor
Memory Map and Register Descriptions
Table 7-1. ATD Module Memory Map (Continued) Address Offset
$_12, $_13 $_14, $_15 $_16, $_17 $_18, $_19 $_1A, $_1B $_1C, $_1D $_1E, $_1F
1
Use
ATD Result Register 1 (ATDDR1H, ATDDR1L) ATD Result Register 2 (ATDDR2H, ATDDR2L) ATD Result Register 3 (ATDDR3H, ATDDR3L) ATD Result Register 4 (ATDDR4H, ATDDR4L) ATD Result Register 5 (ATDDR5H, ATDDR5L) ATD Result Register 6 (ATDDR6H, ATDDR6L) ATD Result Register 7 (ATDDR7H, ATDDR7L)
Access
R/W R/W R/W R/W R/W R/W R/W
ATDTEST0 is intended for factory test purposes only.
NOTE Register Address = Base Address + Address Offset, where the Base Address is defined at the MCU level and the Address Offset is defined at the module level.
7.3.2
Register Descriptions
This section describes in address order all the ATD_10B8C registers and their individual bits.
7.3.2.1
ATD Control Register 0 (ATDCTL0)
Writes to this register will abort current conversion sequence but will not start a new sequence.
Module Base + $0
BIT R W RESET: 7 0 0 6 0 0 5 0 0 4 0 0 3 0 0 2 WRAP2 1 1 WRAP1 1 0 WRAP0 1
= Unimplemented or Reserved
Figure 7-2. ATD Control Register 0 (ATDCTL0)
Read: anytime Write: anytime WRAP2, WRAP1, WRAP0 -- Wrap Around Channel Select Bits These bits determine the channel for wrap around when doing multi-channel conversions. The coding is summarized in Table 7-2.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 221
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
Table 7-2. Multi-Channel Wrap Around Coding WRAP2
0 0 0 0 1 1 1 1
WRAP1
0 0 1 1 0 0 1 1
WRAP0
0 1 0 1 0 1 0 1
Multiple channel conversions (MULT=1) wrap around to AN0 after converting
Reserved AN1 AN2 AN3 AN4 AN5 AN6 AN7
7.3.2.2
ATD Control Register 1 (ATDCTL1)
Writes to this register will abort current conversion sequence but will not start a new sequence.
Module Base + $1
BIT 7 R ETRIGSEL W RESET: 0 6 0 0 5 0 0 4 0 0 3 0 0 2 1 0 ETRIGCH2 ETRIGCH1 ETRIGCH0 1 1 1
= Unimplemented or Reserved
Figure 7-3. ATD Control Register 1 (ATDCTL1)
Read: anytime Write: anytime ETRIGSEL--External Trigger Source Select This bit selects the external trigger source to be either one of the AD channels or one of the ETRIG3-0 inputs. See the device overview chapter for availability and connectivity of ETRIG3-0 inputs. If ETRIG3-0 input option is not available, writing a 1 to ETRISEL only sets the bit but has not effect, that means still one of the AD channels (selected by ETRIGCH2-0) is the source for external trigger. The coding is summarized in Table 7-3. ETRIGCH2, ETRIGCH1, ETRIGCH0 -- External Trigger Channel Select These bits select one of the AD channels or one of the ETRIG3-0 inputs as source for the external trigger. The coding is summarized in Table 7-3.
MC9S12NE64 Data Sheet, Rev 1.0 222 Freescale Semiconductor
Memory Map and Register Descriptions
Table 7-3. External Trigger Channel Select Coding ETRIGSEL ETRIGCH2 ETRIGCH1 ETRIGCH0
0 0 0 0 0 0 0 0 1 1 1 1 1
1
External trigger source is
AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7 ETRIG01 ETRIG11 ETRIG21 ETRIG31 Reserved
0 0 0 0 1 1 1 1 0 0 0 0 1
0 0 1 1 0 0 1 1 0 0 1 1 X
0 1 0 1 0 1 0 1 0 1 0 1 X
Only if ETRIG3-0 input option is available (see device overview chapter), else ETRISEL is ignored, that means external trigger source is still on one of the AD channels selected by ETRIGCH2-0
7.3.2.3
ATD Control Register 2 (ATDCTL2)
This register controls power down, interrupt and external trigger. Writes to this register will abort current conversion sequence but will not start a new sequence.
Module Base + $2
7 R W RESET: ADPU 0 6 AFFC 0 5 AWAI 0 4 ETRIGLE 0 3 ETRIGP 0 2 ETRIGE 0 1 ASCIE 0 0 ASCIF 0
= Unimplemented or Reserved
Figure 7-4. ATD Control Register 2 (ATDCTL2)
Read: anytime Write: anytime ADPU -- ATD Power Down This bit provides on/off control over the ATD_10B8C block allowing reduced MCU power consumption. Because analog electronic is turned off when powered down, the ATD requires a recovery time period after ADPU bit is enabled. 1 = Normal ATD functionality 0 = Power down ATD
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 223
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
AFFC -- ATD Fast Flag Clear All 1 = Changes all ATD conversion complete flags to a fast clear sequence. Any access to a result register will cause the associate CCF flag to clear automatically. 0 = ATD flag clearing operates normally (read the status register ATDSTAT1 before reading the result register to clear the associate CCF flag). AWAI -- ATD Power Down in Wait Mode When entering Wait Mode this bit provides on/off control over the ATD_10B8C block allowing reduced MCU power. Because analog electronic is turned off when powered down, the ATD requires a recovery time period after exit from Wait mode. 1 = Halt conversion and power down ATD during Wait mode After exiting Wait mode with an interrupt conversion will resume. But due to the recovery time the result of this conversion should be ignored. 0 = ATD continues to run in Wait mode ETRIGLE -- External Trigger Level/Edge Control This bit controls the sensitivity of the external trigger signal. See Table 7-4 for details. ETRIGP -- External Trigger Polarity This bit controls the polarity of the external trigger signal. See Table 7-4 for details.
Table 7-4. External Trigger Configurations ETRIGLE 0 0 1 1 ETRIGP 0 1 0 1 External Trigger Sensitivity falling edge rising edge low level high level
ETRIGE -- External Trigger Mode Enable This bit enables the external trigger on one of the AD channels or one of the ETRIG3-0 inputs as described in Table 7-3. If external trigger source is one of the AD channels, the digital input buffer of this channel is enabled. The external trigger allows to synchronize sample and ATD conversions processes with external events. 1 = Enable external trigger 0 = Disable external trigger NOTE If using one of the AD channel as external trigger (ETRIGSEL=0) the conversion results for this channel have no meaning while external trigger mode is enabled. ASCIE -- ATD Sequence Complete Interrupt Enable 1 = ATD Interrupt will be requested whenever ASCIF=1 is set. 0 = ATD Sequence Complete interrupt requests are disabled.
MC9S12NE64 Data Sheet, Rev 1.0 224 Freescale Semiconductor
Memory Map and Register Descriptions
ASCIF -- ATD Sequence Complete Interrupt Flag If ASCIE=1 the ASCIF flag equals the SCF flag (see Section 7.3.2.7, "ATD Status Register 0 (ATDSTAT0)"), else ASCIF reads zero. Writes have no effect. 1 = ATD sequence complete interrupt pending 0 = No ATD interrupt occurred
7.3.2.4
ATD Control Register 3 (ATDCTL3)
This register controls the conversion sequence length, FIFO for results registers and behavior in Freeze Mode. Writes to this register will abort current conversion sequence but will not start a new sequence.
Module Base + $3
R W RESET: 7 0 0 6 S8C 0 5 S4C 1 4 S2C 0 3 S1C 0 2 FIFO 0 1 FRZ1 0 0 FRZ0 0
= Unimplemented or Reserved
Figure 7-5. ATD Control Register 3 (ATDCTL3)
Read: anytime Write: anytime S8C, S4C, S2C, S1C -- Conversion Sequence Length These bits control the number of conversions per sequence. Table 7-5 shows all combinations. At reset, S4C is set to 1 (sequence length is 4). This is to maintain software continuity to HC12 family.
Table 7-5. Conversion Sequence Length Coding S8C
0 0 0 0 0 0 0 0 1
S4C
0 0 0 0 1 1 1 1 X
S2C
0 0 1 1 0 0 1 1 X
S1C
0 1 0 1 0 1 0 1 X
Number of Conversions per Sequence
8 1 2 3 4 5 6 7 8
FIFO -- Result Register FIFO Mode If this bit is zero (non-FIFO mode), the A/D conversion results map into the result registers based on the conversion sequence; the result of the first conversion appears in the first result register, the second result in the second result register, and so on. If this bit is one (FIFO mode) the conversion counter is not reset at the beginning or end of a conversion
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 225
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
sequence; conversion results are placed in consecutive result registers between sequences. The result register counter wraps around when it reaches the end of the result register file. The conversion counter value in ATDSTAT0 can be used to determine where in the result register file, the current conversion result will be placed. Finally, which result registers hold valid data can be tracked using the conversion complete flags. Fast flag clear mode may or may not be useful in a particular application to track valid data. 1 = Conversion results are placed in consecutive result registers (wrap around at end). 0 = Conversion results are placed in the corresponding result register up to the selected sequence length. FRZ1, FRZ0 -- Background Debug Freeze Enable When debugging an application, it is useful in many cases to have the ATD pause when a breakpoint (Freeze Mode) is encountered. These 2 bits determine how the ATD will respond to a breakpoint as shown in Table 7-6. Leakage onto the storage node and comparator reference capacitors may compromise the accuracy of an immediately frozen conversion depending on the length of the freeze period.
Table 7-6. ATD Behavior in Freeze Mode (breakpoint) FRZ1
0 0 1 1
FRZ0
0 1 0 1
Behavior in Freeze mode
Continue conversion Reserved Finish current conversion, then freeze Freeze Immediately
7.3.2.5
ATD Control Register 4 (ATDCTL4)
This register selects the conversion clock frequency, the length of the second phase of the sample time and the resolution of the A/D conversion (i.e.: 8-bits or 10-bits). Writes to this register will abort current conversion sequence but will not start a new sequence.
Module Base + $4
7 R W RESET: SRES8 0 6 SMP1 0 5 SMP0 0 4 PRS4 0 3 PRS3 0 2 PRS2 1 1 PRS1 0 0 PRS0 1
Figure 7-6. ATD Control Register 4 (ATDCTL4)
Read: anytime Write: anytime SRES8 -- A/D Resolution Select This bit selects the resolution of A/D conversion results as either 8 or 10 bits. The A/D converter has an accuracy of 10 bits; however, if low resolution is required, the conversion can be speeded up by selecting 8-bit resolution. 1 = 8 bit resolution 0 = 10 bit resolution
MC9S12NE64 Data Sheet, Rev 1.0 226 Freescale Semiconductor
Memory Map and Register Descriptions
SMP1, SMP0 -- Sample Time Select These two bits select the length of the second phase of the sample time in units of ATD conversion clock cycles. Note that the ATD conversion clock period is itself a function of the prescaler value (bits PRS4-0). The sample time consists of two phases. The first phase is two ATD conversion clock cycles long and transfers the sample quickly (via the buffer amplifier) onto the A/D machine's storage node. The second phase attaches the external analog signal directly to the storage node for final charging and high accuracy. Table 7-7 lists the lengths available for the second sample phase.
Table 7-7. Sample Time Select SMP1
0 0 1 1
SMP0
0 1 0 1
Length of 2nd phase of sample time
2 A/D conversion clock periods 4 A/D conversion clock periods 8 A/D conversion clock periods 16 A/D conversion clock periods
PRS4, PRS3, PRS2, PRS1, PRS0 -- ATD Clock Prescaler These 5 bits are the binary value prescaler value PRS. The ATD conversion clock frequency is calculated as follows:
[ BusClock ] ATDclock = ------------------------------ x 0.5 [ PRS + 1 ]
Note that the maximum ATD conversion clock frequency is half the Bus Clock. The default (after reset) prescaler value is 5 which results in a default ATD conversion clock frequency that is Bus Clock divided by 12. Table 7-8 illustrates the divide-by operation and the appropriate range of the Bus Clock.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 227
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
Table 7-8. Clock Prescaler Values Prescale Value
00000 00001 00010 00011 00100 00101 00110 00111 01000 01001 01010 01011 01100 01101 01110 01111 10000 10001 10010 10011 10100 10101 10110 10111 11000 11001 11010 11011 11100 11101 11110 11111
Total Divisor Value
divide by 2 divide by 4 divide by 6 divide by 8 divide by 10 divide by 12 divide by 14 divide by 16 divide by 18 divide by 20 divide by 22 divide by 24 divide by 26 divide by 28 divide by 30 divide by 32 divide by 34 divide by 36 divide by 38 divide by 40 divide by 42 divide by 44 divide by 46 divide by 48 divide by 50 divide by 52 divide by 54 divide by 56 divide by 58 divide by 60 divide by 62 divide by 64
Max. Bus Clock1
4 MHz 8 MHz 12 MHz 16 MHz 20 MHz 24 MHz 28 MHz 32 MHz 36 MHz 40 MHz 44 MHz 48 MHz 52 MHz 56 MHz 60 MHz 64 MHz 68 MHz 72 MHz 76 MHz 80 MHz 84 MHz 88 MHz 92 MHz 96 MHz 100 MHz 104 MHz 108 MHz 112 MHz 116 MHz 120 MHz 124 MHz 128 MHz
Min. Bus Clock2
1 MHz 2 MHz 3 MHz 4 MHz 5 MHz 6 MHz 7 MHz 8 MHz 9 MHz 10 MHz 11 MHz 12 MHz 13 MHz 14 MHz 15 MHz 16 MHz 17 MHz 18 MHz 19 MHz 20 MHz 21 MHz 22 MHz 23 MHz 24 MHz 25 MHz 26 MHz 27 MHz 28 MHz 29 MHz 30 MHz 31 MHz 32 MHz
1. Maximum ATD conversion clock frequency is 2MHz. The maximum allowed Bus Clock frequency is shown in this column. 2. Minimum ATD conversion clock frequency is 500KHz. The minimum allowed Bus Clock frequency is shown in this column.
7.3.2.6
ATD Control Register 5 (ATDCTL5)
This register selects the type of conversion sequence and the analog input channels sampled. Writes to this register will abort current conversion sequence and start a new conversion sequence.
Module Base + $5
7 R W RESET: DJM 0 6 DSGN 0 5 SCAN 0 4 MULT 0 3 0 0 2 CC 0 1 CB 0 0 CA 0
= Unimplemented or Reserved
Figure 7-7. ATD Control Register 5 (ATDCTL5)
MC9S12NE64 Data Sheet, Rev 1.0 228 Freescale Semiconductor
Memory Map and Register Descriptions
Read: anytime Write: anytime DJM -- Result Register Data Justification This bit controls justification of conversion data in the result registers. See Section 7.3.2.13, "ATD Conversion Result Registers (ATDDRx)," for details. 1 = Right justified data in the result registers 0 = Left justified data in the result registers DSGN -- Result Register Data Signed or Unsigned Representation This bit selects between signed and unsigned conversion data representation in the result registers. Signed data is represented as 2's complement. Signed data is not available in right justification. See Section 7.3.2.13, "ATD Conversion Result Registers (ATDDRx)," for details. 1 = Signed data representation in the result registers 0 = Unsigned data representation in the result registers Table 7-9 summarizes the result data formats available and how they are set up using the control bits. Table 7-10 illustrates the difference between the signed and unsigned, left justified output codes for an input signal range between 0 and 5.12 Volts.
Table 7-9. Available Result Data Formats SRES8
1 1 1 0 0 0
DJM
0 0 1 0 0 1
DSGN
0 1 X 0 1 X
Result Data Formats Description and Bus Bit Mapping
8-bit / left justified / unsigned - bits 8-15 8-bit / left justified / signed - bits 8-15 8-bit / right justified / unsigned - bits 0-7 10-bit / left justified / unsigned - bits 6-15 10-bit / left justified / signed - bits 6-15 10-bit / right justified / unsigned - bits 0-9
Table 7-10. Left Justified, Signed and Unsigned ATD Output Codes. Input Signal Vrl = 0 Volts Vrh = 5.12 Volts
5.120 Volts 5.100 5.080 2.580 2.560 2.540 0.020 0.000
Signed 8-Bit Codes
7F 7F 7E 01 00 FF 81 80
Unsigned 8-Bit Codes
FF FF FE 81 80 7F 01 00
Signed 10-Bit Codes
7FC0 7F00 7E00 0100 0000 FF00 8100 8000
Unsigned 10-Bit Codes
FFC0 FF00 FE00 8100 8000 7F00 0100 0000
SCAN -- Continuous Conversion Sequence Mode This bit selects whether conversion sequences are performed continuously or only once. 1 = Continuous conversion sequences (scan mode) 0 = Single conversion sequence
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 229
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
MULT -- Multi-Channel Sample Mode When MULT is 0, the ATD sequence controller samples only from the specified analog input channel for an entire conversion sequence. The analog channel is selected by channel selection code (control bits CC/CB/CA located in ATDCTL5). When MULT is 1, the ATD sequence controller samples across channels. The number of channels sampled is determined by the sequence length value (S8C, S4C, S2C, S1C). The first analog channel examined is determined by channel selection code (CC, CB, CA control bits); subsequent channels sampled in the sequence are determined by incrementing the channel selection code. 1 = Sample across several channels 0 = Sample only one channel CC, CB, CA -- Analog Input Channel Select Code These bits select the analog input channel(s) whose signals are sampled and converted to digital codes. Table 7-11 lists the coding used to select the various analog input channels. In the case of single channel scans (MULT=0), this selection code specified the channel examined. In the case of multi-channel scans (MULT=1), this selection code represents the first channel to be examined in the conversion sequence. Subsequent channels are determined by incrementing channel selection code; selection codes that reach the maximum value wrap around to the minimum value.
Table 7-11. Analog Input Channel Select Coding CC
0 0 0 0 1 1 1 1
CB
0 0 1 1 0 0 1 1
CA
0 1 0 1 0 1 0 1
Analog Input Channel
AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7
7.3.2.7
ATD Status Register 0 (ATDSTAT0)
This read-only register contains the Sequence Complete Flag, overrun flags for external trigger and FIFO mode, and the conversion counter.
Module Base + $6
7 R W RESET: SCF 0 6 0 0 5 ETORF 0 4 FIFOR 0 3 0 0 2 CC2 0 1 CC1 0 0 CC0 0
= Unimplemented or Reserved
Figure 7-8. ATD Status Register 0 (ATDSTAT0)
Read: anytime Write: anytime (No effect on (CC2, CC1, CC0))
MC9S12NE64 Data Sheet, Rev 1.0 230 Freescale Semiconductor
Memory Map and Register Descriptions
SCF -- Sequence Complete Flag This flag is set upon completion of a conversion sequence. If conversion sequences are continuously performed (SCAN=1), the flag is set after each one is completed. This flag is cleared when one of the following occurs: A) Write "1" to SCF B) Write to ATDCTL5 (a new conversion sequence is started) C) If AFFC=1 and read of a result register 1 = Conversion sequence has completed 0 = Conversion sequence not completed ETORF -- External Trigger Overrun Flag While in edge trigger mode (ETRIGLE=0), if additional active edges are detected while a conversion sequence is in process the overrun flag is set. This flag is cleared when one of the following occurs: A) Write "1" to ETORF B) Write to ATDCTL2, ATDCTL3 or ATDCTL4 (a conversion sequence is aborted) C) Write to ATDCTL5 (a new conversion sequence is started) 1 = External trigger over run error has occurred 0 = No External trigger over run error has occurred FIFOR - FIFO Over Run Flag This bit indicates that a result register has been written to before its associated conversion complete flag (CCF) has been cleared. This flag is most useful when using the FIFO mode because the flag potentially indicates that result registers are out of sync with the input channels. However, it is also practical for non-FIFO modes, and indicates that a result register has been over written before it has been read (i.e. the old data has been lost). This flag is cleared when one of the following occurs: A) Write "1" to FIFOR B) Start a new conversion sequence (write to ATDCTL5 or external trigger) 1 = An over run condition exists 0 = No over run has occurred CC2, CC1, CC0 -- Conversion Counter These 3 read-only bits are the binary value of the conversion counter. The conversion counter points to the result register that will receive the result of the current conversion. E.g. CC2=1, CC1=1, CC0=0 indicates that the result of the current conversion will be in ATD Result Register 6. If in non-FIFO mode (FIFO=0) the conversion counter is initialized to zero at the begin and end of the conversion sequence. If in FIFO mode (FIFO=1) the register counter is not initialized. The conversion counters wraps around when its maximum value is reached.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 231
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.3.2.8
Reserved Register (ATDTEST0)
7 U 1 6 U 0 5 U 0 4 U 0 3 U 0 2 U 0 1 U 0 0 U 0
Module Base + $8
R W RESET:
= Unimplemented or Reserved
Figure 7-9. Reserved Register (ATDTEST0)
Read: anytime, returns unpredictable values Write: anytime in special modes, unimplemented in normal modes NOTE Writing to this register when in special modes can alter functionality.
7.3.2.9
ATD Test Register 1 (ATDTEST1)
This register contains the SC bit used to enable special channel conversions.
Module Base + $9
R W RESET: 7 U 0 6 U 0 5 0 0 4 0 0 3 0 0 2 0 0 1 0 0 0 SC 0
= Unimplemented or Reserved
Figure 7-10. ATD Test Register 1 (ATDTEST1)
Read: anytime, returns unpredictable values for Bit7 and Bit6 Write: anytime SC - Special Channel Conversion Bit If this bit is set, then special channel conversion can be selected using CC, CB and CA of ATDCTL5. Table 7-12 lists the coding. 1 = Special channel conversions enabled 0 = Special channel conversions disabled NOTE Always write remaining bits of ATDTEST1 (Bit7 to Bit1) zero when writing SC bit. Not doing so might result in unpredictable ATD behavior.
MC9S12NE64 Data Sheet, Rev 1.0 232 Freescale Semiconductor
Memory Map and Register Descriptions
Table 7-12. Special Channel Select Coding SC
1 1 1 1 1
CC
0 1 1 1 1
CB
X 0 0 1 1
CA
X 0 1 0 1
Analog Input Channel
Reserved VRH VRL (VRH+VRL) / 2 Reserved
7.3.2.10
ATD Status Register 1 (ATDSTAT1)
This read-only register contains the Conversion Complete Flags.
Module Base + $B
R W RESET: 7 CCF7 0 6 CCF6 0 5 CCF5 0 4 CCF4 0 3 CCF3 0 2 CCF2 0 1 CCF1 0 0 CCF0 0
= Unimplemented or Reserved
Figure 7-11. ATD Status Register 1 (ATDSTAT1)
Read: anytime Write: anytime, no effect CCFx -- Conversion Complete Flag x (x=7,6,5,4,3,2,1,0) A conversion complete flag is set at the end of each conversion in a conversion sequence. The flags are associated with the conversion position in a sequence (and also the result register number). Therefore, CCF0 is set when the first conversion in a sequence is complete and the result is available in result register ATDDR0; CCF1 is set when the second conversion in a sequence is complete and the result is available in ATDDR1, and so forth. A flag CCFx (x=7,6,5,4,3,2,1,0) is cleared when one of the following occurs: A) Write to ATDCTL5 (a new conversion sequence is started) B) If AFFC=0 and read of ATDSTAT1 followed by read of result register ATDDRx C) If AFFC=1 and read of result register ATDDRx In case of a concurrent set and clear on CCFx: The clearing by method A) will overwrite the set. The clearing by methods B) or C) will be overwritten by the set. 1 = Conversion number x has completed, result ready in ATDDRx 0 = Conversion number x not completed
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 233
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.3.2.11
ATD Input Enable Register (ATDDIEN)
Module Base + $D
7 R W RESET: IEN7 0 6 IEN6 0 5 IEN5 0 4 IEN4 0 3 IEN3 0 2 IEN2 0 1 IEN1 0 0 IEN0 0
Figure 7-12. ATD Input Enable Register (ATDDIEN)
Read: anytime Write: anytime IENx -- ATD Digital Input Enable on channel x (x= 7, 6, 5, 4, 3, 2, 1, 0) This bit controls the digital input buffer from the analog input pin (ANx) to PTADx data register. 1 = Enable digital input buffer to PTADx. 0 = Disable digital input buffer to PTADx NOTE Setting this bit will enable the corresponding digital input buffer continuously. If this bit is set while simultaneously using it as an analog port, there is potentially increased power consumption because the digital input buffer maybe in the linear region.
7.3.2.12
Port Data Register (PORTAD)
The data port associated with the ATD is input-only. The port pins are shared with the analog A/D inputs AN7-0.
Module Base + $F
R W RESET: Pin Function 7 PTAD7 1 AN7 6 PTAD6 5 PTAD5 4 PTAD4 3 PTAD3 1 AN3 2 PTAD2 1 AN2 1 PTAD1 1 AN1 0 PTAD0 1 AN0
1 1 1 AN6 AN5 AN4 = Unimplemented or Reserved
Figure 7-13. Port Data Register (PORTAD)
Read: anytime Write: anytime, no effect The A/D input channels may be used for general purpose digital input.
MC9S12NE64 Data Sheet, Rev 1.0 234 Freescale Semiconductor
Memory Map and Register Descriptions
PTADx -- A/D Channel x (ANx) Digital Input (x= 7,6,5,4,3,2,1,0) If the digital input buffer on the ANx pin is enabled (IENx=1) or channel x is enabled as external trigger (ETRIGE=1,ETRIGCH[2-0]=x,ETRIGSEL=0) read returns the logic level on ANx pin (signal potentials not meeting VIL or VIH specifications will have an indeterminate value). If the digital input buffers are disabled (IENx=0) and channel x is not enabled as external trigger, read returns a "1". Reset sets all PORTAD0 bits to "1".
7.3.2.13
ATD Conversion Result Registers (ATDDRx)
The A/D conversion results are stored in 8 read-only result registers. The result data is formatted in the result registers based on two criteria. First there is left and right justification; this selection is made using the DJM control bit in ATDCTL5. Second there is signed and unsigned data; this selection is made using the DSGN control bit in ATDCTL5. Signed data is stored in 2's complement format and only exists in left justified format. Signed data selected for right justified format is ignored. Read: anytime Write: anytime in special mode, unimplemented in normal modes 7.3.2.13.1 Left Justified Result Data
Module Base + $10 = ATDDR0H, $12 = ATDDR1H, $14 = ATDDR2H, $16 = ATDDR3H Module Base + $18 = ATDDR4H, $1A = ATDDR5H, $1C = ATDDR6H, $1E = ATDDR7H
7 R BIT 9 MSB W BIT 7 MSB RESET: 0 6 BIT 8 BIT 6 0 5 BIT 7 BIT 5 0 4 BIT 6 BIT 4 0 3 BIT 5 BIT 3 0 2 BIT 4 BIT 2 0 1 BIT 3 BIT 1 0 0 BIT 2 BIT 0 0 10-bit data 8-bit data
Figure 7-14. Left Justified, ATD Conversion Result Register, High Byte (ATDDRxH)
Module Base + $11 = ATDDR0L, $13 = ATDDR1L, $15 = ATDDR2L, $17 = ATDDR3L Module Base + $19 = ATDDR4L, $1B = ATDDR5L, $1D = ATDDR6L, $1F = ATDDR7L
R W RESET: 7 BIT 1 U 0 6 BIT 0 U 0 5 0 0 0 4 0 0 0 3 0 0 0 2 0 0 0 1 0 0 0 0 0 0 0 10-bit data 8-bit data
Figure 7-15. Left Justified, ATD Conversion Result Register, Low Byte (ATDDRxL)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 235
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
7.3.2.13.2
Right Justified Result Data
Module Base + $10 = ATDDR0H, $12 = ATDDR1H, $14 = ATDDR2H, $16 = ATDDR3H Module Base + $18 = ATDDR4H, $1A = ATDDR5H, $1C = ATDDR6H, $1E = ATDDR7H
R W RESET: 7 0 0 0 6 0 0 0 5 0 0 0 4 0 0 0 3 0 0 0 2 0 0 0 1 BIT 9 MSB 0 0 0 BIT 8 0 0 10-bit data 8-bit data
Figure 7-16. Right Justified, ATD Conversion Result Register, High Byte (ATDDRxH)
Module Base + $11 = ATDDR0L, $13 = ATDDR1L, $15 = ATDDR2L, $17 = ATDDR3L Module Base + $19 = ATDDR4L, $1B = ATDDR5L, $1D = ATDDR6L, $1F = ATDDR7L
7 R BIT 7 W BIT 7 MSB RESET: 0 6 BIT 6 BIT 6 0 5 BIT 5 BIT 5 0 4 BIT 4 BIT 4 0 3 BIT 3 BIT 3 0 2 BIT 2 BIT 2 0 1 BIT 1 BIT 1 0 0 BIT 0 BIT 0 0 10-bit data 8-bit data
Figure 7-17. Right Justified, ATD Conversion Result Register, Low Byte (ATDDRxL)
7.4
Functional Description
The ATD_10B8C is structured in an analog and a digital sub-block.
7.4.1
Analog Sub-Block
The analog sub-block contains all analog electronics required to perform a single conversion. Separate power supplies VDDA and VSSA allow to isolate noise of other MCU circuitry from the analog sub-block.
7.4.1.1
Sample and Hold Machine
The Sample and Hold (S/H) Machine accepts analog signals from the external surroundings and stores them as capacitor charge on a storage node. The sample process uses a two stage approach. During the first stage, the sample amplifier is used to quickly charge the storage node.The second stage connects the input directly to the storage node to complete the sample for high accuracy. When not sampling, the sample and hold machine disables its own clocks. The analog electronics still draw their quiescent current. The power down (ADPU) bit must be set to disable both the digital clocks and the analog power consumption. The input analog signals are unipolar and must fall within the potential range of VSSA to VDDA.
7.4.1.2
Analog Input Multiplexer
The analog input multiplexer connects one of the 8 external analog input channels to the sample and hold machine.
MC9S12NE64 Data Sheet, Rev 1.0 236 Freescale Semiconductor
Functional Description
7.4.1.3
Sample Buffer Amplifier
The sample amplifier is used to buffer the input analog signal so that the storage node can be quickly charged to the sample potential.
7.4.1.4
Analog-to-Digital (A/D) Machine
The A/D Machine performs analog to digital conversions. The resolution is program selectable at either 8 or 10 bits. The A/D machine uses a successive approximation architecture. It functions by comparing the stored analog sample potential with a series of digitally generated analog potentials. By following a binary search algorithm, the A/D machine locates the approximating potential that is nearest to the sampled potential. When not converting the A/D machine disables its own clocks. The analog electronics still draws quiescent current. The power down (ADPU) bit must be set to disable both the digital clocks and the analog power consumption. Only analog input signals within the potential range of VRL to VRH (A/D reference potentials) will result in a non-railed digital output codes.
7.4.2
Digital Sub-Block
This subsection explains some of the digital features in more detail. See register descriptions for all details.
7.4.2.1
External Trigger Input
The external trigger feature allows the user to synchronize ATD conversions to the external environment events rather than relying on software to signal the ATD module when ATD conversions are to take place. The external trigger signal (out of reset ATD channel 7, configurable in ATDCTL1) is programmable to be edge or level sensitive with polarity control. Table 7-13 gives a brief description of the different combinations of control bits and their effect on the external trigger function.
Table 7-13. External Trigger Control Bits ETRIGLE
X
ETRIGP
X
ETRIGE
0
SCAN
0
Description
Ignores external trigger. Performs one conversion sequence and stops.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 237
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
Table 7-13. External Trigger Control Bits ETRIGLE
X 0 0
ETRIGP
X 0 1
ETRIGE
0 1 1
SCAN
1 X X
Description
Ignores external trigger. Performs continuous conversion sequences. Falling edge triggered. Performs one conversion sequence per trigger. Rising edge triggered. Performs one conversion sequence per trigger. Trigger active low. Performs continuous conversions while trigger is active. Trigger active high. Performs continuous conversions while trigger is active.
1
0
1
X
1
1
1
X
During a conversion, if additional active edges are detected the overrun error flag ETORF is set. In either level or edge triggered modes, the first conversion begins when the trigger is received. In both cases, the maximum latency time is one Bus Clock cycle plus any skew or delay introduced by the trigger circuitry. NOTE The conversion results for the external trigger ATD channel 7 have no meaning while external trigger mode is enabled. Once ETRIGE is enabled, conversions cannot be started by a write to ATDCTL5, but rather must be triggered externally. If the level mode is active and the external trigger both de-asserts and re-asserts itself during a conversion sequence, this does not constitute an overrun; therefore, the flag is not set. If the trigger is left asserted in level mode while a sequence is completing, another sequence will be triggered immediately.
7.4.2.2
General Purpose Digital Input Port Operation
The input channel pins can be multiplexed between analog and digital data. As analog inputs, they are multiplexed and sampled to supply signals to the A/D converter. As digital inputs, they supply external input data that can be accessed through the digital port register PORTAD (input-only). The analog/digital multiplex operation is performed in the input pads. The input pad is always connected to the analog inputs of the ATD_10B8C. The input pad signal is buffered to the digital port registers. This buffer can be turned on or off with the ATDDIEN register. This is important so that the buffer does not draw excess current when analog potentials are presented at its input.
7.4.2.3
*
Low Power Modes
The ATD_10B8C can be configured for lower MCU power consumption in 3 different ways:
MC9S12NE64 Data Sheet, Rev 1.0 238 Freescale Semiconductor
Resets
* * *
Stop Mode: This halts A/D conversion. Exit from Stop mode will resume A/D conversion, But due to the recovery time the result of this conversion should be ignored. Wait Mode with AWAI=1: This halts A/D conversion. Exit from Wait mode will resume A/D conversion, but due to the recovery time the result of this conversion should be ignored. Writing ADPU=0 (Note that all ATD registers remain accessible.): This aborts any A/D conversion in progress.
Note that the reset value for the ADPU bit is zero. Therefore, when this module is reset, it is reset into the power down state.
7.5
Resets
At reset the ATD_10B8C is in a power down state. The reset state of each individual bit is listed within the Register Description section (see Section 7.3, "Memory Map and Register Descriptions"), which details the registers and their bit-field.
7.6
Interrupts
The interrupt requested by the ATD_10B8C is listed in Table 7-14. Refer to the device overview chapter for related vector address and priority.
Table 7-14. ATD_10B8C Interrupt Vectors Interrupt Source
Sequence Complete Interrupt
CCR Mask
I bit
Local Enable
ASCIE in ATDCTL2
See register descriptions for further details.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 239
Chapter 7 Analog-to-Digital Converter (ATD_10B8C) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 240 Freescale Semiconductor
Introduction
Chapter 8 Serial Communications Interface (SCI) Block Description
8.1 Introduction
The SCI allows asynchronous serial communications with peripheral devices and other CPUs.
8.1.1
Features
The SCI includes these distinctive features: * Full-duplex operation * Standard mark/space non-return-to-zero (NRZ) format * Selectable IrDA 1.4 return-to-zero-inverted (RZI) format with programmable pulse widths * 13-bit baud rate selection * Programmable 8-bit or 9-bit data format * Separately enabled transmitter and receiver * Programmable transmitter output parity * Two receiver wakeup methods: -- Idle line wakeup -- Address mark wakeup * Interrupt-driven operation with eight flags: -- Transmitter empty -- Transmission complete -- Receiver full -- Idle receiver input -- Receiver overrun -- Noise error -- Framing error -- Parity error * Receiver framing error detection * Hardware parity checking * 1/16 bit-time noise detection
8.1.2
Modes of Operation
The SCI functions the same in normal, special, and emulation modes. It has two low power modes, wait and stop modes. * Run Mode * Wait Mode
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 241
Chapter 8 Serial Communications Interface (SCI) Block Description
*
Stop Mode
8.1.3
Block Diagram
SCI Data Register IDLE Interrupt Request RXD Data In Infrared Decoder Receive Shift Register IRQ Generation
Receive & Wakeup Control (See note) BAUD Generator /16 Data Format Control
RDRF/OR Interrupt Request TDRE Interrupt Request SCI Interrupt Request
Bus Clk
Transmit Control
Transmit Shift Register
IRQ Generation
TC Interrupt Request
SCI Data Register
NOTE: The divide-by factor changes depending on whether the Infrared Encoder is enabled (IREN = 1) or disabled (IREN = 0).
Infrared Encoder
(See note)
Data Out
TXD
Figure 8-1. SCI Block Diagram
8.2
Signal Description
The SCI module has a total of 2 external pins.
8.2.1
SCI_TXD -- SCI Transmit Pin
The TXD pin transmits SCI (standard or infrared) data. It will idle high in either mode and is high impedance anytime the transmitter is disabled.
MC9S12NE64 Data Sheet, Rev 1.0 242 Freescale Semiconductor
Memory Map and Register Descriptions
8.2.2
SCI_RXD -- SCI Receive Pin
The RCD pin receives SCI (standard or infrared) data. An idle line is detected as a line high. This input is ignored when the receiver is disabled and should be terminated to a known voltage.
8.3
Memory Map and Register Descriptions
This section provides a detailed description of all the SCI registers.
8.3.1
Module Memory Map
The memory map for the SCI module is given below in Table 8-1. The address listed for each register is the address offset. The total address for each register is the sum of the base address for the SCI module and the address offset for each register.
Table 8-1. SCI Module Memory Map Address Offset
$_0 $_1 $_2 $_3 $_4 $_5 $_6 $_7
Name
SCI Baud Rate Register High (SCIBDH) SCI Baud Rate Register Low (SCIBDL) SCI Control Register1 (SCICR1) SCI Control Register 2 (SCICR2) SCI Status Register 1 (SCISR1) SCI Status Register 2(SCISR2) SCI Data Register High (SCIDRH) SCI Data Register Low (SCIDRL)
Access
R/W R/W R/W R/W R R/W R/W R/W
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 243
Chapter 8 Serial Communications Interface (SCI) Block Description
Table 8-2. SCI Register Summary
Register Name SCIBDH Read: Write: Read: Write: Bit 7 IREN 6 TNP1 5 TNP0 4 SBR12 3 SBR11 2 SBR10 1 SBR9 Bit 0 SBR8 Addr. offset $_0
SCIBDL
SBR7
SBR6
SBR5
SBR4
SBR3
SBR2
SBR1
SBR0
$_1
SCICR1
Read: LOOPS SCISWAI Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: TIE TDRE TCIE TC
RSRC
M
WAKE
ILT
PE
PT
$_2
SCICR2
RIE RDRF
ILIE IDLE
TE OR
RE NF
RWU FE
SBK PF
$_3
SCISR1
$_4
SCISR2
0
0
0
0
0
BRK13 0
TXDIR 0
RAF
$_5
SCIDRH
R8
T8 R6 T6
0
0
0
0
$_6
SCIDRL
R7 T7
R5 T5
R4 T4
R3 T3
R2 T2
R1 T1
R0 T0
$_7
= Reserved or unimplemented
8.3.2
Register Descriptions
This section consists of register descriptions in address order. Each description includes a standard register diagram with an associated figure number. Writes to a reserved register locations do not have any effect and reads of these locations return a zero. Details of register bit and field function follow the register diagrams, in bit order.
MC9S12NE64 Data Sheet, Rev 1.0 244 Freescale Semiconductor
Memory Map and Register Descriptions
8.3.2.1
SCI Baud Rate Registers (SCIBDH, SCIBDL)
Module Base + $0
7 Read Write RESET: IREN 0 6 TNP1 0 5 TNP0 0 4 SBR12 0 3 SBR11 0 2 SBR10 0 1 SBR9 0 0 SBR8 0
Module Base + $1
7 Read Write RESET: SBR7 0 6 SBR6 0 5 SBR5 0 4 SBR4 0 3 SBR3 0 2 SBR2 1 1 SBR1 0 0 SBR0 0
Figure 8-2. SCI Baud Rate Registers (SCIBDH/L)
Read: anytime. If only SCIBDH is written to, a read will not return the correct data until SCIBDL is written to as well, following a write to SCIBDH. Write: anytime The SCI Baud Rate Register is used by to determine the baud rate of the SCI, and to control the infrared modulation/demodulation submodule. IREN -- Infrared Enable Bit This bit enables/disables the infrared modulation/demodulation submodule. 1 = IR enabled 0 = IR disabled TNP1,TNP0 - Transmitter Narrow Pulse Bits These bits enable whether the SCI transmits a 1/16, 3/16, or 1/32 narrow pulse.
Table 8-3. IRSCI Transmit Pulse Width TNP[1:0]
11 10 01 00
Narrow Pulse Width
Reserved 1/32 1/16 3/16
SBR[12:0] - SCI Baud Rate Bits The baud rate for the SCI is determined by the bits in this register. The baud rate is calculated two different ways depending on the state of the IREN bit. The formulas for calculating the baud rate are: When IREN=0 then, SCI baud rate = SCI module clock / (16 x SBR[12:0])
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 245
Chapter 8 Serial Communications Interface (SCI) Block Description
When IREN=1 then, SCI baud rate = SCI module clock / (32 x SBR[12:1]) NOTE The baud rate generator is disabled after reset and not started until the TE bit or the RE bit is set for the first time. The baud rate generator is disabled when (SBR[12:0] = 0 and IREN = 0) or (SBR[12:1] = 0 and IREN = 1). NOTE Writing to SCIBDH has no effect without writing to SCIBDL, since writing to SCIBDH puts the data in a temporary location until SCIBDL is written to.
8.3.2.2
SCI Control Register 1 (SCICR1)
Module Base + $2
7 Read Write RESET: LOOPS 0 6 5 RSRC 0 4 M 0 3 WAKE 0 2 ILT 0 1 PE 0 0 PT 0
SCISWAI
0
Figure 8-3. SCI Control Register 1 (SCICR1)
Read: anytime Write: anytime LOOPS - Loop Select Bit LOOPS enables loop operation. In loop operation, the RXD pin is disconnected from the SCI and the transmitter output is internally connected to the receiver input. Both the transmitter and the receiver must be enabled to use the loop function. 1 = Loop operation enabled 0 = Normal operation enabled The receiver input is determined by the RSRC bit. SCISWAI -- SCI Stop in Wait Mode Bit SCISWAI disables the SCI in wait mode. 1 = SCI disabled in wait mode 0 = SCI enabled in wait mode RSRC -- Receiver Source Bit When LOOPS = 1, the RSRC bit determines the source for the receiver shift register input. 1 = Receiver input connected externally to transmitter 0 = Receiver input internally connected to transmitter output
MC9S12NE64 Data Sheet, Rev 1.0 246 Freescale Semiconductor
Memory Map and Register Descriptions
Table 8-4. Loop Functions LOOPS
0 1 1
RSRC
x 0 1
Function
Normal operation Loop mode with Rx input internally connected to Tx output Single-wire mode with Rx input connected to TXD
M -- Data Format Mode Bit MODE determines whether data characters are eight or nine bits long. 1 = One start bit, nine data bits, one stop bit 0 = One start bit, eight data bits, one stop bit WAKE -- Wakeup Condition Bit WAKE determines which condition wakes up the SCI: a logic 1 (address mark) in the most significant bit position of a received data character or an idle condition on the Rx input signal. 1 = Address mark wakeup 0 = Idle line wakeup ILT -- Idle Line Type Bit ILT determines when the receiver starts counting logic 1s as idle character bits. The counting begins either after the start bit or after the stop bit. If the count begins after the start bit, then a string of logic 1s preceding the stop bit may cause false recognition of an idle character. Beginning the count after the stop bit avoids false idle character recognition, but requires properly synchronized transmissions. 1 = Idle character bit count begins after stop bit 0 = Idle character bit count begins after start bit PE -- Parity Enable Bit PE enables the parity function. When enabled, the parity function inserts a parity bit in the most significant bit position. 1 = Parity function enabled 0 = Parity function disabled PT -- Parity Type Bit PT determines whether the SCI generates and checks for even parity or odd parity. With even parity, an even number of 1s clears the parity bit and an odd number of 1s sets the parity bit. With odd parity, an odd number of 1s clears the parity bit and an even number of 1s sets the parity bit. 1 = Odd parity 0 = Even parity
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 247
Chapter 8 Serial Communications Interface (SCI) Block Description
8.3.2.3
SCI Control Register 2 (SCICR2)
Module Base + $3
7 Read Write RESET: TIE 0 6 TCIE 0 5 RIE 0 4 ILIE 0 3 TE 0 2 RE 0 1 RWU 0 0 SBK 0
Figure 8-4. SCI Control Register 2 (SCICR2)
Read: anytime Write: anytime TIE -- Transmitter Interrupt Enable Bit TIE enables the transmit data register empty flag, TDRE, to generate interrupt requests. 1 = TDRE interrupt requests enabled 0 = TDRE interrupt requests disabled TCIE -- Transmission Complete Interrupt Enable Bit TCIE enables the transmission complete flag, TC, to generate interrupt requests. 1 = TC interrupt requests enabled 0 = TC interrupt requests disabled RIE -- Receiver Full Interrupt Enable Bit RIE enables the receive data register full flag, RDRF, or the overrun flag, OR, to generate interrupt requests. 1 = RDRF and OR interrupt requests enabled 0 = RDRF and OR interrupt requests disabled ILIE -- Idle Line Interrupt Enable Bit ILIE enables the idle line flag, IDLE, to generate interrupt requests. 1 = IDLE interrupt requests enabled 0 = IDLE interrupt requests disabled TE -- Transmitter Enable Bit TE enables the SCI transmitter and configures the TXD pin as being controlled by the SCI. The TE bit can be used to queue an idle preamble. 1 = Transmitter enabled 0 = Transmitter disabled RE -- Receiver Enable Bit RE enables the SCI receiver. 1 = Receiver enabled 0 = Receiver disabled
MC9S12NE64 Data Sheet, Rev 1.0 248 Freescale Semiconductor
Memory Map and Register Descriptions
RWU -- Receiver Wakeup Bit Standby state 1 = RWU enables the wakeup function and inhibits further receiver interrupt requests. Normally, hardware wakes the receiver by automatically clearing RWU. 0 = Normal operation. SBK -- Send Break Bit Toggling SBK sends one break character (10 or 11 logic 0s, respectively 13 or 14 logics 0s if BRK13 is set). Toggling implies clearing the SBK bit before the break character has finished transmitting. As long as SBK is set, the transmitter continues to send complete break characters (10 or 11 bits, respectively 13 or 14 bits). 1 = Transmit break characters 0 = No break characters
8.3.2.4
SCI Status Register 1 (SCISR1)
The SCISR1 and SCISR2 registers provides inputs to the MCU for generation of SCI interrupts. Also, these registers can be polled by the MCU to check the status of these bits. The flag-clearing procedures require that the status register be read followed by a read or write to the SCI Data Register. It is permissible to execute other instructions between the two steps as long as it does not compromise the handling of I/O, but the order of operations is important for flag clearing.
Module Base + $4
Read Write RESET: 7 TDRE 1 6 TC 1 5 RDRF 0 4 IDLE 0 3 OR 0 2 NF 0 1 FE 0 0 PF 0
= Unimplemented or Reserved
Figure 8-5. SCI Status Register 1 (SCISR1)
Read: anytime Write: has no meaning or effect TDRE -- Transmit Data Register Empty Flag TDRE is set when the transmit shift register receives a byte from the SCI data register. When TDRE is 1, the transmit data register (SCIDRH/L) is empty and can receive a new value to transmit.Clear TDRE by reading SCI status register 1 (SCISR1), with TDRE set and then writing to SCI data register low (SCIDRL). 1 = Byte transferred to transmit shift register; transmit data register empty 0 = No byte transferred to transmit shift register
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 249
Chapter 8 Serial Communications Interface (SCI) Block Description
TC -- Transmit Complete Flag TC is set low when there is a transmission in progress or when a preamble or break character is loaded. TC is set high when the TDRE flag is set and no data, preamble, or break character is being transmitted.When TC is set, the Tx output signal becomes idle (logic 1). Clear TC by reading SCI status register 1 (SCISR1) with TC set and then writing to SCI data register low (SCIDRL). TC is cleared automatically when data, preamble, or break is queued and ready to be sent. TC is cleared in the event of a simultaneous set and clear of the TC flag (transmission not complete). 1 = No transmission in progress 0 = Transmission in progress RDRF -- Receive Data Register Full Flag RDRF is set when the data in the receive shift register transfers to the SCI data register. Clear RDRF by reading SCI status register 1 (SCISR1) with RDRF set and then reading SCI data register low (SCIDRL). 1 = Received data available in SCI data register 0 = Data not available in SCI data register IDLE -- Idle Line Flag IDLE is set when 10 consecutive logic 1s (if M=0) or 11 consecutive logic 1s (if M=1) appear on the receiver input. Once the IDLE flag is cleared, a valid frame must again set the RDRF flag before an idle condition can set the IDLE flag.Clear IDLE by reading SCI status register 1 (SCISR1) with IDLE set and then reading SCI data register low (SCIDRL). 1 = Receiver input has become idle 0 = Receiver input is either active now or has never become active since the IDLE flag was last cleared NOTE When the receiver wakeup bit (RWU) is set, an idle line condition does not set the IDLE flag. OR -- Overrun Flag OR is set when software fails to read the SCI data register before the receive shift register receives the next frame. The OR bit is set immediately after the stop bit has been completely received for the second frame. The data in the shift register is lost, but the data already in the SCI data registers is not affected. Clear OR by reading SCI status register 1 (SCISR1) with OR set and then reading SCI data register low (SCIDRL). 1 = Overrun 0 = No overrun NF -- Noise Flag NF is set when the SCI detects noise on the receiver input. NF bit is set during the same cycle as the RDRF flag but does not get set in the case of an overrun. Clear NF by reading SCI status register 1(SCISR1), and then reading SCI data register low (SCIDRL). 1 = Noise 0 = No noise
MC9S12NE64 Data Sheet, Rev 1.0 250 Freescale Semiconductor
Memory Map and Register Descriptions
FE -- Framing Error Flag FE is set when a logic 0 is accepted as the stop bit. FE bit is set during the same cycle as the RDRF flag but does not get set in the case of an overrun. FE inhibits further data reception until it is cleared. Clear FE by reading SCI status register 1 (SCISR1) with FE set and then reading the SCI data register low (SCIDRL). 1 = Framing error 0 = No framing error PF -- Parity Error Flag PF is set when the parity enable bit, PE, is set and the parity of the received data does not match its parity bit. Clear PF by reading SCI status register 1 (SCISR1), and then reading SCI data register low (SCIDRL). 1 = Parity error 0 = No parity error
8.3.2.5
SCI Status Register 2 (SCISR2)
Module Base + $5
Read Write RESET: 7 0 0 6 0 0 5 0 0 4 0 0 3 0 0 2 BRK13 0 1 TXDIR 0 0 RAF 0
= Unimplemented or Reserved
Figure 8-6. SCI Status Register 2 (SCISR2)
Read: anytime Write: anytime; BRK13 -- Break Transmit character length This bit determines whether the transmit break character is 10 or 11 bit respectively 13 or 14 bits long. The detection of a framing error is not affected by this bit. 1 = Break character is 13 or 14 bit long 0 = Break Character is 10 or 11 bit long TXDIR -- Transmitter pin data direction in Single-Wire mode. This bit determines whether the TXD pin is going to be used as an input or output, in the Single-Wire mode of operation. This bit is only relevant in the Single-Wire mode of operation. 1 = TXD pin to be used as an output in Single-Wire mode 0 = TXD pin to be used as an input in Single-Wire mode
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 251
Chapter 8 Serial Communications Interface (SCI) Block Description
RAF -- Receiver Active Flag RAF is set when the receiver detects a logic 0 during the RT1 time period of the start bit search. RAF is cleared when the receiver detects an idle character. 1 = Reception in progress 0 = No reception in progress
8.3.2.6
SCI Data Registers (SCIDRH, SCIDRL)
Module Base + $6
Read Write RESET: 7 R8 0 6 T8 0 5 0 0 4 0 0 3 0 0 2 0 0 1 0 0 0 0 0
= Unimplemented or Reserved
Module Base + $7
Read Write RESET: 7 R7 T7 0 6 R6 T6 0 5 R5 T5 0 4 R4 T4 0 3 R3 T3 0 2 R2 T2 0 1 R1 T1 0 0 R0 T0 0
Figure 8-7. SCI Data Registers (SCIDRH/L)
Read: anytime; reading accesses SCI receive data register Write: anytime; writing accesses SCI transmit data register; writing to R8 has no effect R8 -- Received Bit 8 R8 is the ninth data bit received when the SCI is configured for 9-bit data format (M = 1). T8 -- Transmit Bit 8 T8 is the ninth data bit transmitted when the SCI is configured for 9-bit data format (M = 1). R7-R0 -- Received bits seven through zero for 9-bit or 8-bit data formats T7-T0 -- Transmit bits seven through zero for 9-bit or 8-bit formats NOTE If the value of T8 is the same as in the previous transmission, T8 does not have to be rewritten.The same value is transmitted until T8 is rewritten. NOTE In 8-bit data format, only SCI data register low (SCIDRL) needs to be accessed.
MC9S12NE64 Data Sheet, Rev 1.0 252 Freescale Semiconductor
Functional Description
NOTE When transmitting in 9-bit data format and using 8-bit write instructions, write first to SCI data register high (SCIDRH), then SCIDRL.
8.4
Functional Description
This section provides a complete functional description of the SCI block, detailing the operation of the design from the end user perspective in a number of subsections. Figure 8-8 shows the structure of the SCI module. The SCI allows full duplex, asynchronous, serial communication between the CPU and remote devices, including other CPUs. The SCI transmitter and receiver operate independently, although they use the same baud rate generator. The CPU monitors the status of the SCI, writes the data to be transmitted, and processes received data.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 253
Chapter 8 Serial Communications Interface (SCI) Block Description
IREN
SCI DATA REGISTER
RXD
INFRARED RECEIVE DECODER
R8
Ir_RXD
SCRXD
RECEIVE SHIFT REGISTER RE
NF FE PF RAF IDLE RDRF M OR ILIE IDLE
R16XCLK
RECEIVE AND WAKEUP CONTROL
RWU LOOPS RSRC
BUS CLOCK
BAUD RATE GENERATOR
RDRF/OR
WAKE DATA FORMAT CONTROL ILT PE RIE
SBR12-SBR0
PT TE TIE SCI Interrupt Request
/16
TRANSMIT CONTROL
LOOPS SBK RSRC TDRE TC TCIE
TDRE
T8
TRANSMIT SHIFT REGISTER SCI DATA REGISTER
TC
SCTXD TXD R16XCLK R32XCLK TNP[1:0] IREN
INFRARED TRANSMIT ENCODER
Ir_TXD
Figure 8-8. Detailed SCI Block Diagram
8.4.1
Infrared Interface Submodule
This module provides the capability of transmitting narrow pulses to an IR LED and receiving narrow pulses and transforming them to serial bits, which are sent to the SCI. The IrDA physical layer specification defines a half-duplex infrared communication link for exchange data. The full standard includes data rates up to 16 Mbits/s. This design covers only data rates between 2.4 Kbits/s and 115.2 Kbits/s. The infrared submodule consists of two major blocks: the transmit encoder and the receive decoder. The SCI transmits serial bits of data which are encoded by the infrared submodule to transmit a narrow high pulse for every zero bit. No pulse is transmitted for every one bit. When receiving data, the IR pulses should be detected using an IR photo diode and transformed to CMOS levels by the IR receive decoder
MC9S12NE64 Data Sheet, Rev 1.0 254 Freescale Semiconductor
Functional Description
(external from the MCU). The narrow pulses are then stretched by the infrared submodule to get back to a serial bit stream to be received by the SCI. The infrared submodule receives its clock sources from the SCI. One of these two clocks are selected in the infrared submodule in order to generate either 3/16, 1/16, or 1/32 narrow pulses during transmission. The infrared block receives two clock sources from the SCI, R16XCLK and R32XCLK, which are configured to generate the narrow pulse width during transmission. The R16XCLK and R32XCLK are internal clocks with frequencies 16 and 32 times the baud rate respectively. Both R16XCLK and R32XCLK clocks are used for transmitting data. The receive decoder uses only the R16XCLK clock.
8.4.1.1
Infrared Transmit Encoder
The infrared transmit encoder converts serial bits of data from transmit shift register to the TXD pin. A narrow high pulse is transmitted for a zero bit and no pulse for a one bit. The narrow pulse is sent in the middle of the bit with a duration of 1/32, 1/16, or 3/16 of a bit time.
8.4.1.2
Infrared Receive Decoder
The infrared receive block converts data from the RXD pin to the receive shift register. A narrow high pulse is expected for each zero received and no pulse is expected for each one received. This receive decoder meets the edge jitter requirement as defined by the IrDA serial infrared physical layer specification.
8.4.2
Data Format
The SCI uses the standard NRZ mark/space data format. When Infrared is enabled, the SCI uses RZI data format where zeroes are represented by light pulses and ones remain low. See Figure 8-9 below.
8-BIT DATA FORMAT (BIT M IN SCICR1 CLEAR) START BIT
POSSIBLE PARITY BIT BIT 6 BIT 7 STOP BIT
BIT 0
BIT 1
BIT 2
BIT 3
BIT 4
BIT 5
NEXT START BIT
STANDARD SCI DATA
INFRARED SCI DATA
9-BIT DATA FORMAT (BIT M IN SCICR1 SET) START BIT BIT 0 BIT 1 BIT 2 BIT 3 BIT 4 BIT 5 BIT 6 BIT 7
POSSIBLE PARITY BIT BIT 8 STOP BIT
NEXT START BIT
STANDARD SCI DATA
INFRARED SCI DATA
Figure 8-9. SCI Data Formats (Standard SCI and Infrared)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 255
Chapter 8 Serial Communications Interface (SCI) Block Description
Each data character is contained in a frame that includes a start bit, eight or nine data bits, and a stop bit. Clearing the M bit in SCI control register 1 configures the SCI for 8-bit data characters. A frame with eight data bits has a total of 10 bits. Setting the M bit configures the SCI for nine-bit data characters. A frame with nine data bits has a total of 11 bits
Table 8-5. Example of 8-bit Data Formats Start Bit
1 1 1
1
Data Bits
8 7 7
Address Bits
0 0 1
1
Parity Bits
0 1 0
Stop Bit
1 1 1
The address bit identifies the frame as an address character. See section on Receiver Wakeup
When the SCI is configured for 9-bit data characters, the ninth data bit is the T8 bit in SCI data register high (SCIDRH). It remains unchanged after transmission and can be used repeatedly without rewriting it. A frame with nine data bits has a total of 11 bits.
Table 8-6. Example of 9-Bit Data Formats Start Bit
1 1 1
1
Data Bits
9 8 8
Address Bits
0 0 11
Parity Bits
0 1 0
Stop Bit
1 1 1
The address bit identifies the frame as an address character. See section on Receiver Wakeup
8.4.3
Baud Rate Generation
A 13-bit modulus counter in the baud rate generator derives the baud rate for both the receiver and the transmitter. The value from 0 to 8191 written to the SBR12-SBR0 bits determines the module clock divisor. The SBR bits are in the SCI baud rate registers (SCIBDH and SCIBDL). The baud rate clock is synchronized with the bus clock and drives the receiver. The baud rate clock divided by 16 drives the transmitter. The receiver has an acquisition rate of 16 samples per bit time. Baud rate generation is subject to one source of error: * Integer division of the module clock may not give the exact target frequency.
Table 8-7 lists some examples of achieving target baud rates with a module clock frequency of 10.2 MHz. When IREN=0 then, SCI baud rate = SCI module clock / (16 * SCIBR[12:0])
MC9S12NE64 Data Sheet, Rev 1.0 256 Freescale Semiconductor
Functional Description
Table 8-7. Baud Rates (Example: Module Clock = 10.2 MHz) Bits SBR[12-0]
17 33 66 133 266 531 1062 2125 4250 5795
Receiver Clock (Hz)
600,000.0 309,090.9 154,545.5 76,691.7 38,345.9 19,209.0 9604.5 4800.0 2400.0 1760.1
Transmitter Clock (Hz)
37,500.0 19,318.2 9659.1 4793.2 2396.6 1200.6 600.3 300.0 150.0 110.0
Target Baud Rate
38,400 19,200 9600 4800 2400 1200 600 300 150 110
Error (%)
2.3 .62 .62 .14 .14 .11 .05 .00 .00 .00
8.4.4
Transmitter
INTERNAL BUS
BUS CLOCK
BAUD DIVIDER
/ 16
SCI DATA REGISTERS
STOP
SBR12-SBR0
11-BIT TRANSMIT SHIFT REGISTER 8 7 6 5 4 3 2 1 0
START
M
H
L
SCTXD
MSB
LOAD FROM SCIDR
T8
PREAMBLE (ALL ONES)
PE PT
PARITY GENERATION
TRANSMITTER CONTROL
TDRE INTERRUPT REQUEST
TDRE TIE TC TCIE
TE
SBK
TC INTERRUPT REQUEST
Figure 8-10. Transmitter Block Diagram
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 257
BREAK (ALL 0s)
SHIFT ENABLE
Chapter 8 Serial Communications Interface (SCI) Block Description
8.4.4.1
Transmitter Character Length
The SCI transmitter can accommodate either 8-bit or 9-bit data characters. The state of the M bit in SCI control register 1 (SCICR1) determines the length of data characters. When transmitting 9-bit data, bit T8 in SCI data register high (SCIDRH) is the ninth bit (bit 8).
8.4.4.2
Character Transmission
To transmit data, the MCU writes the data bits to the SCI data registers (SCIDRH/SCIDRL), which in turn are transferred to the transmitter shift register. The transmit shift register then shifts a frame out through the Tx output signal, after it has prefaced them with a start bit and appended them with a stop bit. The SCI data registers (SCIDRH and SCIDRL) are the write-only buffers between the internal data bus and the transmit shift register. The SCI also sets a flag, the transmit data register empty flag (TDRE), every time it transfers data from the buffer (SCIDRH/L) to the transmitter shift register.The transmit driver routine may respond to this flag by writing another byte to the Transmitter buffer (SCIDRH/SCIDRL), while the shift register is still shifting out the first byte. To initiate an SCI transmission: 1. Configure the SCI: a) Select a baud rate. Write this value to the SCI baud registers (SCIBDH/L) to begin the baud rate generator. Remember that the baud rate generator is disabled when the baud rate is zero. Writing to the SCIBDH has no effect without also writing to SCIBDL. b) Write to SCICR1 to configure word length, parity, and other configuration bits (LOOPS,RSRC,M,WAKE,ILT,PE,PT). c) Enable the transmitter, interrupts, receive, and wake up as required, by writing to the SCICR2 register bits (TIE,TCIE,RIE,ILIE,TE,RE,RWU,SBK). A preamble or idle character will now be shifted out of the transmitter shift register. 2. Transmit Procedure for Each Byte: a) Poll the TDRE flag by reading the SCISR1 or responding to the TDRE interrupt. Keep in mind that the TDRE bit resets to one. b) If the TDRE flag is set, write the data to be transmitted to SCIDRH/L, where the ninth bit is written to the T8 bit in SCIDRH if the SCI is in 9-bit data format. A new transmission will not result until the TDRE flag has been cleared. 3. Repeat step 2 for each subsequent transmission. NOTE The TDRE flag is set when the shift register is loaded with the next data to be transmitted from SCIDRH/L, which happens, generally speaking, a little over half-way through the stop bit of the previous frame. Specifically, this transfer occurs 9/16ths of a bit time AFTER the start of the stop bit of the previous frame. Writing the TE bit from 0 to a 1 automatically loads the transmit shift register with a preamble of 10 logic 1s (if M = 0) or 11 logic 1s (if M = 1). After the preamble shifts out, control logic transfers the data from
MC9S12NE64 Data Sheet, Rev 1.0 258 Freescale Semiconductor
Functional Description
the SCI data register into the transmit shift register. A logic 0 start bit automatically goes into the least significant bit position of the transmit shift register. A logic 1 stop bit goes into the most significant bit position. Hardware supports odd or even parity. When parity is enabled, the most significant bit (msb) of the data character is the parity bit. The transmit data register empty flag, TDRE, in SCI status register 1 (SCISR1) becomes set when the SCI data register transfers a byte to the transmit shift register. The TDRE flag indicates that the SCI data register can accept new data from the internal data bus. If the transmit interrupt enable bit, TIE, in SCI control register 2 (SCICR2) is also set, the TDRE flag generates a transmitter interrupt request. When the transmit shift register is not transmitting a frame, the Tx output signal goes to the idle condition, logic 1. If at any time software clears the TE bit in SCI control register 2 (SCICR2), the transmitter enable signal goes low and the transmit signal goes idle. If software clears TE while a transmission is in progress (TC = 0), the frame in the transmit shift register continues to shift out. To avoid accidentally cutting off the last frame in a message, always wait for TDRE to go high after the last frame before clearing TE. To separate messages with preambles with minimum idle line time, use this sequence between messages: 1. Write the last byte of the first message to SCIDRH/L. 2. Wait for the TDRE flag to go high, indicating the transfer of the last frame to the transmit shift register. 3. Queue a preamble by clearing and then setting the TE bit. 4. Write the first byte of the second message to SCIDRH/L.
8.4.4.3
Break Characters
Writing a logic 1 to the send break bit, SBK, in SCI control register 2 (SCICR2) loads the transmit shift register with a break character. A break character contains all logic 0s and has no start, stop, or parity bit. Break character length depends on the M bit in SCI control register 1 (SCICR1). As long as SBK is at logic 1, transmitter logic continuously loads break characters into the transmit shift register. After software clears the SBK bit, the shift register finishes transmitting the last break character and then transmits at least one logic 1. The automatic logic 1 at the end of a break character guarantees the recognition of the start bit of the next frame. The SCI recognizes a break character when a start bit is followed by eight or nine logic 0 data bits and a logic 0 where the stop bit should be. Receiving a break character has these effects on SCI registers: * Sets the framing error flag, FE * Sets the receive data register full flag, RDRF * Clears the SCI data registers (SCIDRH/L) * May set the overrun flag, OR, noise flag, NF, parity error flag, PE, or the receiver active flag, RAF (see 3.4.4 and 3.4.5 SCI Status Register 1 and 2)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 259
Chapter 8 Serial Communications Interface (SCI) Block Description
8.4.4.4
Idle Characters
An idle character contains all logic 1s and has no start, stop, or parity bit. Idle character length depends on the M bit in SCI control register 1 (SCICR1). The preamble is a synchronizing idle character that begins the first transmission initiated after writing the TE bit from 0 to 1. If the TE bit is cleared during a transmission, the Tx output signal becomes idle after completion of the transmission in progress. Clearing and then setting the TE bit during a transmission queues an idle character to be sent after the frame currently being transmitted. NOTE When queueing an idle character, return the TE bit to logic 1 before the stop bit of the current frame shifts out through the Tx output signal. Setting TE after the stop bit appears on Tx output signal causes data previously written to the SCI data register to be lost. Toggle the TE bit for a queued idle character while the TDRE flag is set and immediately before writing the next byte to the SCI data register. NOTE If the TE bit is clear and the transmission is complete, the SCI is not the master of the TXD pin.
MC9S12NE64 Data Sheet, Rev 1.0 260 Freescale Semiconductor
Functional Description
8.4.5
Receiver
INTERNAL BUS
SBR12-SBR0
SCI DATA REGISTER
STOP
BAUD DIVIDER
11-BIT RECEIVE SHIFT REGISTER 8 7 6 5 4 3 2 1 0
SCRXD
DATA RECOVERY ALL ONES
H
RE RAF
MSB
FE M WAKE ILT PE PT WAKEUP LOGIC NF PE RWU
PARITY CHECKING IDLE
ILIE
R8
IDLE INTERRUPT REQUEST
RDRF RDRF/OR INTERRUPT REQUEST RIE OR
Figure 8-11. SCI Receiver Block Diagram
8.4.5.1
Receiver Character Length
The SCI receiver can accommodate either 8-bit or 9-bit data characters. The state of the M bit in SCI control register 1 (SCICR1) determines the length of data characters. When receiving 9-bit data, bit R8 in SCI data register high (SCIDRH) is the ninth bit (bit 8).
8.4.5.2
Character Reception
During an SCI reception, the receive shift register shifts a frame in from the Rx input signal. The SCI data register is the read-only buffer between the internal data bus and the receive shift register. After a complete frame shifts into the receive shift register, the data portion of the frame transfers to the SCI data register. The receive data register full flag, RDRF, in SCI status register 1 (SCISR1) becomes set, indicating that the received byte can be read. If the receive interrupt enable bit, RIE, in SCI control register 2 (SCICR2) is also set, the RDRF flag generates an RDRF interrupt request.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 261
START L
BUS CLOCK
Chapter 8 Serial Communications Interface (SCI) Block Description
8.4.5.3
Data Sampling
The receiver samples the Rx input signal at the RT clock rate. The RT clock is an internal signal with a frequency 16 times the baud rate. To adjust for baud rate mismatch, the RT clock (see Figure 8-12) is re-synchronized: * After every start bit * After the receiver detects a data bit change from logic 1 to logic 0 (after the majority of data bit samples at RT8, RT9, and RT10 returns a valid logic 1 and the majority of the next RT8, RT9, and RT10 samples returns a valid logic 0) To locate the start bit, data recovery logic does an asynchronous search for a logic 0 preceded by three logic 1s.When the falling edge of a possible start bit occurs, the RT clock begins to count to 16.
START BIT Rx Input Signal SAMPLES 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 LSB
START BIT QUALIFICATION
START BIT VERIFICATION
DATA SAMPLING
RT CLOCK
RT4
RT10
RT11
RT12
RT13
RT14
RT15
RT16
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT2
RT3
RT5
RT6
RT7
RT8
RT9
RT1
RT2
RT3
RT CLOCK COUNT RESET RT CLOCK
Figure 8-12. Receiver Data Sampling
To verify the start bit and to detect noise, data recovery logic takes samples at RT3, RT5, and RT7. Table 8-8 summarizes the results of the start bit verification samples.
Table 8-8. Start Bit Verification RT3, RT5, and RT7 Samples
000 001 010 011 100 101 110 111
Start Bit Verification
Yes Yes Yes No Yes No No No
Noise Flag
0 1 1 0 1 0 0 0
If start bit verification is not successful, the RT clock is reset and a new search for a start bit begins. To determine the value of a data bit and to detect noise, recovery logic takes samples at RT8, RT9, and RT10. summarizes the results of the data bit samples.
MC9S12NE64 Data Sheet, Rev 1.0 262 Freescale Semiconductor
RT4
Functional Description
Table 8-9. Data Bit Recovery RT8, RT9, and RT10 Samples
000 001 010 011 100 101 110 111
Data Bit Determination
0 0 0 1 0 1 1 1
Noise Flag
0 1 1 1 1 1 1 0
NOTE The RT8, RT9, and RT10 samples do not affect start bit verification. If any or all of the RT8, RT9, and RT10 start bit samples are logic 1s following a successful start bit verification, the noise flag (NF) is set and the receiver assumes that the bit is a start bit (logic 0). To verify a stop bit and to detect noise, recovery logic takes samples at RT8, RT9, and RT10. Table 8-10 summarizes the results of the stop bit samples.
Table 8-10. Stop Bit Recovery RT8, RT9, and RT10 Samples
000 001 010 011 100 101 110 111
Framing Error Flag
1 1 1 0 1 0 0 0
Noise Flag
0 1 1 1 1 1 1 0
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 263
Chapter 8 Serial Communications Interface (SCI) Block Description
In Figure 8-13 the verification samples RT3 and RT5 determine that the first low detected was noise and not the beginning of a start bit. The RT clock is reset and the start bit search begins again. The noise flag is not set because the noise occurred before the start bit was found.
START BIT Rx Input Signal SAMPLES 1 1 1 0 1 1 1 0 0 0 0 0 0 0 LSB
RT CLOCK RT1 RT1 RT1 RT1 RT2 RT3 RT4 RT5 RT1 RT1 RT2
RT3
RT4
RT5
RT6
RT7
RT8
RT9
RT1
RT2 LSB RT6
RT10
RT11
RT12
RT13
RT14
RT15
RT CLOCK COUNT RESET RT CLOCK
Figure 8-13. Start Bit Search Example 1
In Figure 8-14, verification sample at RT3 is high. The RT3 sample sets the noise flag. Although the perceived bit time is misaligned, the data samples RT8, RT9, and RT10 are within the bit time and data recovery is successful.
PERCEIVED START BIT ACTUAL START BIT Rx Input Signal SAMPLES 1 1 1 1 1 0 1 0 0 0 0 0
RT CLOCK RT1 RT1 RT1 RT1 RT1 RT1 RT2 RT3 RT4 RT5 RT6
RT7
RT8
RT9
RT1
RT2
RT3
RT4
RT16
RT5
RT10
RT11
RT12
RT13
RT14
RT15
RT CLOCK COUNT RESET RT CLOCK
Figure 8-14. Start Bit Search Example 2
MC9S12NE64 Data Sheet, Rev 1.0 264 Freescale Semiconductor
RT16
RT7
RT3
Functional Description
In Figure 8-15, a large burst of noise is perceived as the beginning of a start bit, although the test sample at RT5 is high. The RT5 sample sets the noise flag. Although this is a worst-case misalignment of perceived bit time, the data samples RT8, RT9, and RT10 are within the bit time and data recovery is successful.
PERCEIVED START BIT ACTUAL START BIT Rx input Signal SAMPLES 1 1 1 0 0 1 0 0 0 0 LSB
RT CLOCK RT1 RT2 RT3 RT4 RT5 RT6 RT7 RT8 LSB RT2 RT1 RT1 RT1 RT1 RT2 RT3 RT4 RT5 RT6 RT7 RT8
RT9
RT10
RT11
RT12
RT13
RT14
RT15
RT CLOCK COUNT RESET RT CLOCK
Figure 8-15. Start Bit Search Example 3
Figure 8-16 shows the effect of noise early in the start bit time. Although this noise does not affect proper synchronization with the start bit time, it does set the noise flag.
PERCEIVED AND ACTUAL START BIT Rx Input Signal SAMPLES 1 1 1 1 1 1 1 1 1 0 1 0
RT CLOCK RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT2
RT3
RT4
RT5
RT6
RT7
RT8
RT9
RT16
RT1
RT10
RT11
RT12
RT13
RT14
RT15
RT CLOCK COUNT RESET RT CLOCK
Figure 8-16. Start Bit Search Example 4
Figure 8-17 shows a burst of noise near the beginning of the start bit that resets the RT clock. The sample after the reset is low but is not preceded by three high samples that would qualify as a falling edge.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 265
RT16
RT3
RT9
Chapter 8 Serial Communications Interface (SCI) Block Description
Depending on the timing of the start bit search and on the data, the frame may be missed entirely or it may set the framing error flag.
Rx Input Signal SAMPLES 1 1 1 1 1 1 1 1 1 0 0 1 START BIT NO START BIT FOUND 1 0 0 0 0 0 0 0 0 LSB
RT CLOCK RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT2
RT3
RT4
RT5
RT6
RT7
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1
RT1 LSB RT2
RT CLOCK COUNT RESET RT CLOCK
Figure 8-17. Start Bit Search Example 5
In Figure 8-18, a noise burst makes the majority of data samples RT8, RT9, and RT10 high. This sets the noise flag but does not reset the RT clock. In start bits only, the RT8, RT9, and RT10 data samples are ignored.
START BIT Rx Input Signal SAMPLES 1 1 1 1 1 1 1 1 1 0 0 0 0 1 0 1
RT CLOCK RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT1 RT2
RT3
RT4
RT5
RT6
RT7
RT8
RT9
RT1
RT10
RT11
RT12
RT13
RT14
RT15
RT CLOCK COUNT RESET RT CLOCK
Figure 8-18. Start Bit Search Example 6
8.4.5.4
Framing Errors
If the data recovery logic does not detect a logic 1 where the stop bit should be in an incoming frame, it sets the framing error flag, FE, in SCI status register 1 (SCISR1). A break character also sets the FE flag because a break character has no stop bit. The FE flag is set at the same time that the RDRF flag is set.
8.4.5.5
Baud Rate Tolerance
A transmitting device may be operating at a baud rate below or above the receiver baud rate. Accumulated bit time misalignment can cause one of the three stop bit data samples (RT8, RT9, and RT10) to fall outside the actual stop bit. A noise error will occur if the RT8, RT9, and RT10 samples are not all the same logical
MC9S12NE64 Data Sheet, Rev 1.0 266 Freescale Semiconductor
RT16
RT3
RT1
Functional Description
values. A framing error will occur if the receiver clock is misaligned in such a way that the majority of the RT8, RT9, and RT10 stopbit samples are a logic zero. As the receiver samples an incoming frame, it re-synchronizes the RT clock on any valid falling edge within the frame. Re synchronization within frames will correct a misalignment between transmitter bit times and receiver bit times. 8.4.5.5.1 Slow Data Tolerance
Figure 8-19 shows how much a slow received frame can be misaligned without causing a noise error or a framing error. The slow stop bit begins at RT8 instead of RT1 but arrives in time for the stop bit data samples at RT8, RT9, and RT10.
MSB STOP
RECEIVER RT CLOCK RT10 RT11 RT12 RT13 RT14 RT15 RT16 RT1 RT2 RT3 RT4 RT5 RT6 RT7 RT8 RT9
DATA SAMPLES
Figure 8-19. Slow Data
For an 8-bit data character, data sampling of the stop bit takes the receiver 9 bit times x 16 RT cycles +10 RT cycles =154 RT cycles. With the misaligned character shown in Figure 8-19, the receiver counts 154 RT cycles at the point when the count of the transmitting device is 9 bit times x 16 RT cycles + 3 RT cycles = 147 RT cycles. The maximum percent difference between the receiver count and the transmitter count of a slow 8-bit data character with no errors is: ((154 - 147) / 154) x 100 = 4.54% For a 9-bit data character, data sampling of the stop bit takes the receiver 10 bit times x 16 RT cycles + 10 RT cycles = 170 RT cycles. With the misaligned character shown in Figure 8-19, the receiver counts 170 RT cycles at the point when the count of the transmitting device is 10 bit times x 16 RT cycles + 3 RT cycles = 163 RT cycles. The maximum percent difference between the receiver count and the transmitter count of a slow 9-bit character with no errors is: ((170 - 163) / 170) X 100 = 4.12%
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 267
Chapter 8 Serial Communications Interface (SCI) Block Description
8.4.5.5.2
Fast Data Tolerance
Figure 8-20 shows how much a fast received frame can be misaligned. The fast stop bit ends at RT10 instead of RT16 but is still sampled at RT8, RT9, and RT10.
STOP IDLE OR NEXT FRAME
RECEIVER RT CLOCK RT10 RT11 RT12 RT13 RT14 RT15 RT16 RT1 RT2 RT3 RT4 RT5 RT6 RT7 RT8 RT9
DATA SAMPLES
Figure 8-20. Fast Data
For an 8-bit data character, data sampling of the stop bit takes the receiver 9 bit times x 16 RT cycles + 10 RT cycles = 154 RT cycles. With the misaligned character shown in Figure 8-20, the receiver counts 154 RT cycles at the point when the count of the transmitting device is 10 bit times x 16 RT cycles = 160 RT cycles. The maximum percent difference between the receiver count and the transmitter count of a fast 8-bit character with no errors is: ((154 - 160) / 154) x 100 = 3.90% For a 9-bit data character, data sampling of the stop bit takes the receiver 10 bit times x 16 RT cycles + 10 RT cycles = 170 RT cycles. With the misaligned character shown in Figure 8-20, the receiver counts 170 RT cycles at the point when the count of the transmitting device is 11 bit times x 16 RT cycles = 176 RT cycles. The maximum percent difference between the receiver count and the transmitter count of a fast 9-bit character with no errors is: ((170 - 176) / 170) x 100 = 3.53%
8.4.5.6
Receiver Wakeup
To enable the SCI to ignore transmissions intended only for other receivers in multiple-receiver systems, the receiver can be put into a standby state. Setting the receiver wakeup bit, RWU, in SCI control register 2 (SCICR2) puts the receiver into standby state during which receiver interrupts are disabled.The SCI will still load the receive data into the SCIDRH/L registers, but it will not set the RDRF flag. The transmitting device can address messages to selected receivers by including addressing information in the initial frame or frames of each message. The WAKE bit in SCI control register 1 (SCICR1) determines how the SCI is brought out of the standby state to process an incoming message. The WAKE bit enables either idle line wakeup or address mark wakeup.
MC9S12NE64 Data Sheet, Rev 1.0 268 Freescale Semiconductor
Functional Description
8.4.5.6.1
Idle Input Line Wakeup (WAKE = 0)
In this wakeup method, an idle condition on the Rx input signal clears the RWU bit and wakes up the SCI. The initial frame or frames of every message contain addressing information. All receivers evaluate the addressing information, and receivers for which the message is addressed process the frames that follow. Any receiver for which a message is not addressed can set its RWU bit and return to the standby state. The RWU bit remains set and the receiver remains on standby until another idle character appears on the Rx Input signal. Idle line wakeup requires that messages be separated by at least one idle character and that no message contains idle characters. The idle character that wakes a receiver does not set the receiver idle bit, IDLE, or the receive data register full flag, RDRF. The idle line type bit, ILT, determines whether the receiver begins counting logic 1s as idle character bits after the start bit or after the stop bit. ILT is in SCI control register 1 (SCICR1). 8.4.5.6.2 Address Mark Wakeup (WAKE = 1)
In this wakeup method, a logic 1 in the most significant bit (msb) position of a frame clears the RWU bit and wakes up the SCI. The logic 1 in the msb position marks a frame as an address frame that contains addressing information. All receivers evaluate the addressing information, and the receivers for which the message is addressed process the frames that follow.Any receiver for which a message is not addressed can set its RWU bit and return to the standby state. The RWU bit remains set and the receiver remains on standby until another address frame appears on the Rx input signal. The logic 1 msb of an address frame clears the receiver's RWU bit before the stop bit is received and sets the RDRF flag. Address mark wakeup allows messages to contain idle characters but requires that the msb be reserved for use in address frames. NOTE With the WAKE bit clear, setting the RWU bit after the Rx input signal has been idle can cause the receiver to wake up immediately.
8.4.6
Single-Wire Operation
Normally, the SCI uses two pins for transmitting and receiving. In single-wire operation, the RXD pin is disconnected from the SCI. The SCI uses the TXD pin for both receiving and transmitting.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 269
Chapter 8 Serial Communications Interface (SCI) Block Description
TRANSMITTER
Tx Output Signal Tx Input Signal
RECEIVER
RXD
Figure 8-21. Single-Wire Operation (LOOPS = 1, RSRC = 1)
Enable single-wire operation by setting the LOOPS bit and the receiver source bit, RSRC, in SCI control register 1 (SCICR1). Setting the LOOPS bit disables the path from the Rx input signal to the receiver. Setting the RSRC bit connects the receiver input to the output of the TXD pin driver. Both the transmitter and receiver must be enabled (TE=1 and RE=1).The TXDIR bit (SCISR2[1]) determines whether the TXD pin is going to be used as an input (TXDIR = 0) or an output (TXDIR = 1) in this mode of operation.
8.4.7
Loop Operation
In loop operation the transmitter output goes to the receiver input. The Rx Input signal is disconnected from the SCI.
TRANSMITTER
Tx Output Signal
RECEIVER
RXD
Figure 8-22. Loop Operation (LOOPS = 1, RSRC = 0)
Enable loop operation by setting the LOOPS bit and clearing the RSRC bit in SCI control register 1 (SCICR1). Setting the LOOPS bit disables the path from the Rx input signal to the receiver. Clearing the RSRC bit connects the transmitter output to the receiver input. Both the transmitter and receiver must be enabled (TE = 1 and RE = 1).
8.4.8
Reset Initialization
See Section 8.3.2, "Register Descriptions."
MC9S12NE64 Data Sheet, Rev 1.0 270 Freescale Semiconductor
Functional Description
8.4.9
8.4.9.1
Modes of Operation
Run Mode
Normal mode of operation.
8.4.9.2
Wait Mode
SCI operation in wait mode depends on the state of the SCISWAI bit in the SCI control register 1 (SCICR1). * If SCISWAI is clear, the SCI operates normally when the CPU is in wait mode. * If SCISWAI is set, SCI clock generation ceases and the SCI module enters a power-conservation state when the CPU is in wait mode. Setting SCISWAI does not affect the state of the receiver enable bit, RE, or the transmitter enable bit, TE. If SCISWAI is set, any transmission or reception in progress stops at wait mode entry. The transmission or reception resumes when either an internal or external interrupt brings the CPU out of wait mode. Exiting wait mode by reset aborts any transmission or reception in progress and resets the SCI.
8.4.9.3
Stop Mode
The SCI is inactive during stop mode for reduced power consumption. The STOP instruction does not affect the SCI register states, but the SCI module clock will be disabled. The SCI operation resumes from where it left off after an external interrupt brings the CPU out of stop mode. Exiting stop mode by reset aborts any transmission or reception in progress and resets the SCI.
8.4.10
8.4.10.1
Interrupt Operation
System Level Interrupt Sources
There are five interrupt sources that can generate an SCI interrupt in to the CPU. They are listed in Table 8-11.
Table 8-11. SCI Interrupt Source Interrupt Source
Transmitter Transmitter Receiver Receiver
Flag
TDRE TC RDRF OR IDLE
Local Enable
TIE TCIE RIE ILIE
8.4.10.2
Interrupt Descriptions
See the Interrupts section of the device overview chapter, which describes the Interrupt signal generated by the SCI.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 271
Chapter 8 Serial Communications Interface (SCI) Block Description
8.4.10.3
Recovery from Wait Mode
The SCI interrupt request can be used to bring the CPU out of wait mode.
8.5
Resets
The reset state of each individual bit is listed within the Register Description section (see Section 3 Memory Map and Register Definition) which details the registers and their bit-fields. All special functions or modes which are initialized during or just following reset are described within this section.
8.6
Interrupts
This section describes the interrupt originated by the SCI block.The MCU must service the interrupt requests. Table 8-12 lists the five interrupt sources of the SCI. The local enables for the five SCI interrupt sources, are described in Table 4-7.
Table 8-12. SCI Interrupt Sources Interrupt
TDRE TC RDRF OR IDLE
Source
SCISR1[7] SCISR1[6] SCISR1[5] SCISR1[3] SCISR1[4]
Description
Active high level detect. Indicates that a byte was transferred from SCIDRH/L to the transmit shift register. Active high level detect. Indicates that a transmit is complete. Active high level detects. The RDRF interrupt indicates that received data is available in the SCI data register. Active high level detects. This interrupt indicates that an overrun condition has occurred. Active high level detect. Indicates that receiver input has become idle.
The SCI only originates interrupt requests. The following is a description of how the SCI makes a request and how the MCU should acknowledge that request. The interrupt vector offset and interrupt number are chip dependent. The SCI only has a single interrupt line (SCI Interrupt Signal, active high operation) and all the following interrupts, when generated, are ORed together and issued through that port.
8.6.1
TDRE Description
The TDRE interrupt is set high by the SCI when the transmit shift register receives a byte from the SCI data register. A TDRE interrupt indicates that the transmit data register (SCIDRH/L) is empty and that a new byte can be written to the SCIDRH/L for transmission.Clear TDRE by reading SCI status register 1 with TDRE set and then writing to SCI data register low (SCIDRL).
8.6.2
TC Description
The TC interrupt is set by the SCI when a transmission has been completed.A TC interrupt indicates that there is no transmission in progress. TC is set high when the TDRE flag is set and no data, preamble, or break character is being transmitted. When TC is set, the TXD pin becomes idle (logic 1). Clear TC by reading SCI status register 1 (SCISR1) with TC set and then writing to SCI data register low (SCIDRL).TC is cleared automatically when data, preamble, or break is queued and ready to be sent.
MC9S12NE64 Data Sheet, Rev 1.0 272 Freescale Semiconductor
Interrupts
8.6.3
RDRF Description
The RDRF interrupt is set when the data in the receive shift register transfers to the SCI data register. A RDRF interrupt indicates that the received data has been transferred to the SCI data register and that the byte can now be read by the MCU. The RDRF interrupt is cleared by reading the SCI status register one (SCISR1) and then reading SCI data register low (SCIDRL).
8.6.4
OR Description
The OR interrupt is set when software fails to read the SCI data register before the receive shift register receives the next frame. The newly acquired data in the shift register will be lost in this case, but the data already in the SCI data registers is not affected. The OR interrupt is cleared by reading the SCI status register one (SCISR1) and then reading SCI data register low (SCIDRL).
8.6.5
IDLE Description
The IDLE interrupt is set when 10 consecutive logic 1s (if M=0) or 11 consecutive logic 1s (if M=1) appear on the receiver input. Once the IDLE is cleared, a valid frame must again set the RDRF flag before an idle condition can set the IDLE flag. Clear IDLE by reading SCI status register 1 (SCISR1) with IDLE set and then reading SCI data register low (SCIDRL).
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 273
Chapter 8 Serial Communications Interface (SCI) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 274 Freescale Semiconductor
Introduction
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.1 Introduction
Figure 9-1 gives an overview on the SPI architecture. The main parts of the SPI are status control and data registers, shifter logic, baud rate generator, master/slave control logic and port control logic.
SPI 2 SPI Control Register 1 BIDIROE SPI Control Register 2 2 SPC0 SPI Status Register
SPIF MODF SPTEF
Slave Control
CPOL
CPHA
MOSI
Interrupt Control SPI Interrupt Request Baud Rate Generator
Counter
Slave Baud Rate Master Baud Rate
Phase + SCK in Polarity Control Phase + SCK out Polarity Control
MISO Port Control Logic
SCK
Master Control
Baud Rate
SS
Shift Clock Sample Clock
Bus Clock
Prescaler
Clock Select
SPPR
3
SPR
3
LSBFE=1
SPI Baud Rate Register 8 8
LSBFE=0
Shifter data in
LSBFE=0 LSBFE=1 LSBFE=0 LSBFE=1 LSB
SPI Data Register
MSB
data out
Figure 9-1. SPI Block Diagram
The SPI module allows a duplex, synchronous, serial communication between the MCU and peripheral devices. Software can poll the SPI status flags or the SPI operation can be interrupt driven.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 275
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.1.1
Features
The SPI includes these distinctive features: * Master mode and slave mode * Bidirectional mode * Slave select output * Mode fault error flag with CPU interrupt capability * Double-buffered data register * Serial clock with programmable polarity and phase * Control of SPI operation during wait mode
9.1.2
Modes of Operation
The SPI functions in three modes, run, wait, and stop. * Run Mode This is the basic mode of operation. * Wait Mode SPI operation in wait mode is a configurable low power mode, controlled by the SPISWAI bit located in the SPICR2 register. In wait mode, if the SPISWAI bit is clear, the SPI operates like in Run Mode. If the SPISWAI bit is set, the SPI goes into a power conservative state, with the SPI clock generation turned off. If the SPI is configured as a master, any transmission in progress stops, but is resumed after CPU goes into Run Mode. If the SPI is configured as a slave, reception and transmission of a byte continues, so that the slave stays synchronized to the master. * Stop Mode The SPI is inactive in stop mode for reduced power consumption. If the SPI is configured as a master, any transmission in progress stops, but is resumed after CPU goes into Run Mode. If the SPI is configured as a slave, reception and transmission of a byte continues, so that the slave stays synchronized to the master. This is a high level description only, detailed descriptions of operating modes are contained in Section 9.4.10, "Low Power Mode Options."
9.2
External Signal Description
This section lists the name and description of all ports including inputs and outputs that do, or may, connect off chip. The SPI module has a total of 4 external pins.
9.2.1
SPI_MOSI
This pin is used to transmit data out of the SPI module when it is configured as a Master and receive data when it is configured as Slave.
MC9S12NE64 Data Sheet, Rev 1.0 276 Freescale Semiconductor
Memory Map and Register Descriptions
9.2.2
SPI_MISO
This pin is used to transmit data out of the SPI module when it is configured as a Slave and receive data when it is configured as Master.
9.2.3
SPI_SS
This pin is used to output the select signal from the SPI module to another peripheral with which a data transfer is to take place when its configured as a Master, and its used as an input to receive the slave select signal when the SPI is configured as Slave.
9.2.4
SPI_SCK
This pin is used to output the clock with respect to which the SPI transfers data or receive clock in case of Slave.
9.3
Memory Map and Register Descriptions
This section provides a detailed description of address space and registers used by the SPI.
9.3.1
Module Memory Map
The memory map for the SPI is given below in Table 9-1. The address listed for each register is the sum of a base address and an address offset. The base address is defined at the MCU level and the address offset is defined at the module level. Reads from the reserved bits return zeros and writes to the reserved bits have no effect.
Table 9-1. SPI Module Memory Map Address Offset
$___0 $___1 $___2 $___3 $___4 $___5 $___6 $___7
1 2
Use
SPI Control Register 1 (SPICR1) SPI Control Register 2 (SPICR2) SPI Baud Rate Register (SPIBR) SPI Status Register (SPISR) Reserved SPI Data Register (SPIDR) Reserved Reserved
Access
R/W R/W1 R/W1 R2 --23 R/W --23 --23
Certain bits are non-writable. Writes to this register are ignored. 3 Reading from this register returns all zeros.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 277
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.3.2
Register Descriptions
This section consists of register descriptions in address order. Each description includes a standard register diagram with an associated figure number. Details of register bit and field function follow the register diagrams, in bit order.
9.3.2.1
SPI Control Register 1 (SPICR1)
Module Base + $0
Bit 7 R W Reset: SPIE 0 6 SPE 0 5 SPTIE 0 4 MSTR 0 3 CPOL 0 2 CPHA 1 1 SSOE 0 Bit 0 LSBFE 0
Figure 9-2. SPI Control Register 1 (SPICR1)
Read:anytime Write:anytime SPIE -- SPI Interrupt Enable Bit This bit enables SPI interrupt requests, if SPIF or MODF status flag is set. 1 = SPI interrupts enabled. 0 = SPI interrupts disabled. SPE -- SPI System Enable Bit This bit enables the SPI system and dedicates the SPI port pins to SPI system functions. If SPE is cleared, SPI is disabled and forced into idle state, status bits in SPISR register are reset 1 = SPI enabled, port pins are dedicated to SPI functions. 0 = SPI disabled (lower power consumption). SPTIE -- SPI Transmit Interrupt Enable This bit enables SPI interrupt requests, if SPTEF flag is set. 1 = SPTEF interrupt enabled. 0 = SPTEF interrupt disabled. MSTR -- SPI Master/Slave Mode Select Bit This bit selects, if the SPI operates in master or slave mode. Switching the SPI from master to slave or vice versa forces the SPI system into idle state. 1 = SPI is in Master mode 0 = SPI is in Slave mode
MC9S12NE64 Data Sheet, Rev 1.0 278 Freescale Semiconductor
Memory Map and Register Descriptions
CPOL -- SPI Clock Polarity Bit This bit selects an inverted or non-inverted SPI clock. To transmit data between SPI modules, the SPI modules must have identical CPOL values. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state. 1 = Active-low clocks selected. In idle state SCK is high. 0 = Active-high clocks selected. In idle state SCK is low. CPHA -- SPI Clock Phase Bit This bit is used to select the SPI clock format. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state. 1 = Sampling of data occurs at even edges (2,4,6,...,16) of the SCK clock 0 = Sampling of data occurs at odd edges (1,3,5,...,15) of the SCK clock SSOE -- Slave Select Output Enable The SS output feature is enabled only in master mode, if MODFEN is set, by asserting the SSOE as shown in Table 9-2. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state.
Table 9-2. SS Input / Output Selection MOD SSOE FEN
0 0 1 1 0 1 0 1
Master Mode
SS not used by SPI SS not used by SPI SS input with MODF feature SS is slave select output
Slave Mode
SS input SS input SS input SS input
LSBFE -- LSB-First Enable This bit does not affect the position of the MSB and LSB in the data register. Reads and writes of the data register always have the MSB in bit 7. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state. 1 = Data is transferred least significant bit first. 0 = Data is transferred most significant bit first.
9.3.2.2
SPI Control Register 2 (SPICR2)
Module Base + $1
R W Reset: Bit 7 0 0 6 0 0 = Reserved 5 0 0 4 MODFEN 0 3 BIDIROE 0 2 0 0 1 SPISWAI 0 Bit 0 SPC0 0
Figure 9-3. SPI Control Register 2 (SPICR2)
Read:anytime
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 279
Chapter 9 Serial Peripheral Interface (SPI) Block Description
Write:anytime; writes to the reserved bits have no effect MODFEN -- Mode Fault Enable Bit This bit allows the MODF failure being detected. If the SPI is in Master mode and MODFEN is cleared, then the SS port pin is not used by the SPI. In Slave mode, the SS is available only as an input regardless of the value of MODFEN. For an overview on the impact of the MODFEN bit on the SS port pin configuration refer to Table 9-2. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state. 1 = SS port pin with MODF feature 0 = SS port pin is not used by the SPI BIDIROE -- Output enable in the Bidirectional mode of operation This bit controls the MOSI and MISO output buffer of the SPI, when in bidirectional mode of operation (SPC0 is set). In master mode this bit controls the output buffer of the MOSI port, in slave mode it controls the output buffer of the MISO port. In master mode, with SPC0 set, a change of this bit will abort a transmission in progress and force the SPI into idle state. 1 = Output buffer enabled 0 = Output buffer disabled SPISWAI -- SPI Stop in Wait Mode Bit This bit is used for power conservation while in wait mode. 1 = Stop SPI clock generation when in wait mode 0 = SPI clock operates normally in wait mode SPC0 -- Serial Pin Control Bit 0 This bit enables bidirectional pin configurations as shown in Table 9-3. In master mode, a change of this bit will abort a transmission in progress and force the SPI system into idle state
Table 9-3. Bidirectional Pin Configurations Pin Mode Normal Bidirectional SPC0 0 1 BIDIROE MISO MOSI Master Mode of Operation X Master In Master Out 0 Master In MISO not used by SPI 1 Master I/O Slave Mode of Operation X Slave Out Slave In 0 Slave In MOSI not used by SPI 1 Slave I/O
Normal Bidirectional
0 1
MC9S12NE64 Data Sheet, Rev 1.0 280 Freescale Semiconductor
Memory Map and Register Descriptions
9.3.2.3
SPI Baud Rate Register (SPIBR)
Module Base + $2
R W Reset: Bit 7 0 0 6 SPPR2 0 = Reserved 5 SPPR1 0 4 SPPR0 0 3 0 0 2 SPR2 0 1 SPR1 0 Bit 0 SPR0 0
Figure 9-4. SPI Baud Rate Register (SPIBR)
Read:anytime Write:anytime; writes to the reserved bits have no effect SPPR2-SPPR0 -- SPI Baud Rate Preselection Bits SPR2-SPR0 -- SPI Baud Rate Selection Bits These bits specify the SPI baud rates as shown in the table below. In master mode, a change of these bits will abort a transmission in progress and force the SPI system into idle state. The baud rate divisor equation is as follows:
BaudRateDivisor = ( SPPR + 1 ) * 2
( SPR + 1 )
The baud rate can be calculated with the following equation:
Baud Rate = BusClock BaudRateDivisor Table 9-4. Example SPI Baud Rate Selection (25 MHz Bus Clock) (Sheet 1 of 3) SPPR2
0 0 0 0 0 0 0 0 0 0 0 0 0 0
SPPR1
0 0 0 0 0 0 0 0 0 0 0 0 0 0
SPPR0
0 0 0 0 0 0 0 0 1 1 1 1 1 1
SPR2
0 0 0 0 1 1 1 1 0 0 0 0 1 1
SPR1
0 0 1 1 0 0 1 1 0 0 1 1 0 0
SPR0
0 1 0 1 0 1 0 1 0 1 0 1 0 1
Baud Rate Divisor
2 4 8 16 32 64 128 256 4 8 16 32 64 128
Baud Rate
12.5 MHz 6.25 MHz 3.125 MHz 1.5625 MHz 781.25 kHz 390.63 kHz 195.31 kHz 97.66 kHz 6.25 MHz 3.125 MHz 1.5625 MHz 781.25 kHz 390.63 kHz 195.31 kHz
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 281
Chapter 9 Serial Peripheral Interface (SPI) Block Description
Table 9-4. Example SPI Baud Rate Selection (25 MHz Bus Clock) (Sheet 2 of 3) SPPR2
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
SPPR1
0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1
SPPR0
1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0
SPR2
1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0
SPR1
1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
SPR0
0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
Baud Rate Divisor
256 512 6 12 24 48 96 192 384 768 8 16 32 64 128 256 512 1024 10 20 40 80 160 320 640 1280 12 24 48 96 192 384 768 1536 14 28 56 112
Baud Rate
97.66 kHz 48.83 kHz 4.16667 MHz 2.08333 MHz 1.04167 MHz 520.83 kHz 260.42 kHz 130.21 kHz 65.10 kHz 32.55 kHz 3.125 MHz 1.5625 MHz 781.25 kHz 390.63 kHz 195.31 kHz 97.66 kHz 48.83 kHz 24.41 kHz 2.5 MHz 1.25 MHz 625 kHz 312.5 kHz 156.25 kHz 78.13 kHz 39.06 kHz 19.53 kHz 2.08333 MHz 1.04167 MHz 520.83 kHz 260.42 kHz 130.21 kHz 65.10 kHz 32.55 kHz 16.28 kHz 1.78571 MHz 892.86 kHz 446.43 kHz 223.21 kHz
MC9S12NE64 Data Sheet, Rev 1.0 282 Freescale Semiconductor
Memory Map and Register Descriptions
Table 9-4. Example SPI Baud Rate Selection (25 MHz Bus Clock) (Sheet 3 of 3) SPPR2
1 1 1 1 1 1 1 1 1 1 1 1
SPPR1
1 1 1 1 1 1 1 1 1 1 1 1
SPPR0
0 0 0 0 1 1 1 1 1 1 1 1
SPR2
1 1 1 1 0 0 0 0 1 1 1 1
SPR1
0 0 1 1 0 0 1 1 0 0 1 1
SPR0
0 1 0 1 0 1 0 1 0 1 0 1
Baud Rate Divisor
224 448 896 1792 16 32 64 128 256 512 1024 2048
Baud Rate
111.61 kHz 55.80 kHz 27.90 kHz 13.95 kHz 1.5625 MHz 781.25 kHz 390.63 kHz 195.31 kHz 97.66 kHz 48.83 kHz 24.41 kHz 12.21 kHz
NOTE In slave mode of SPI S-clock speed DIV2 is not supported.
9.3.2.4
SPI Status Register (SPISR)
Module Base + $3
R W Reset: Bit 7 SPIF 0 6 0 0 = Reserved 5 SPTEF 1 4 MODF 0 3 0 0 2 0 0 1 0 0 Bit 0 0 0
Figure 9-5. SPI Status Register (SPISR)
Read:anytime Write:has no effect SPIF -- SPIF Interrupt Flag This bit is set after a received data byte has been transferred into the SPI Data Register. This bit is cleared by reading the SPISR register (with SPIF set) followed by a read access to the SPI Data Register. 1 = New data copied to SPIDR 0 = Transfer not yet complete
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 283
Chapter 9 Serial Peripheral Interface (SPI) Block Description
SPTEF -- SPI Transmit Empty Interrupt Flag If set, this bit indicates that the transmit data register is empty. To clear this bit and place data into the transmit data register, SPISR has to be read with SPTEF=1, followed by a write to SPIDR. Any write to the SPI Data Register without reading SPTEF=1, is effectively ignored. 1 = SPI Data register empty 0 = SPI Data register not empty MODF -- Mode Fault Flag This bit is set if the SS input becomes low while the SPI is configured as a master and mode fault detection is enabled, MODFEN bit of SPICR2 register is set. Refer to MODFEN bit description in Section 9.3.2.2, "SPI Control Register 2 (SPICR2)." The flag is cleared automatically by a read of the SPI Status Register (with MODF set) followed by a write to the SPI Control Register 1. 1 = Mode fault has occurred. 0 = Mode fault has not occurred.
9.3.2.5
SPI Data Register (SPIDR)
Module Base + $5
Bit 7 R W Reset: Bit 7 0 6 6 0 5 5 0 4 4 0 3 3 0 2 2 0 1 2 0 Bit 0 Bit 0 0
Figure 9-6. SPI Data Register (SPIDR)
Read:anytime; normally read only after SPIF is set Write:anytime The SPI Data Register is both the input and output register for SPI data. A write to this register allows a data byte to be queued and transmitted. For a SPI configured as a master, a queued data byte is transmitted immediately after the previous transmission has completed. The SPI Transmitter Empty Flag SPTEF in the SPISR register indicates when the SPI Data Register is ready to accept new data. Reading the data can occur anytime from after the SPIF is set to before the end of the next transfer. If the SPIF is not serviced by the end of the successive transfers, those data bytes are lost and the data within the SPIDR retains the first byte until SPIF is serviced.
9.4
Functional Description
The SPI module allows a duplex, synchronous, serial communication between the MCU and peripheral devices. Software can poll the SPI status flags or SPI operation can be interrupt driven. The SPI system is enabled by setting the SPI enable (SPE) bit in SPI Control Register 1. While SPE bit is set, the four associated SPI port pins are dedicated to the SPI function as: * Slave select (SS) * Serial clock (SCK) * Master out/slave in (MOSI)
MC9S12NE64 Data Sheet, Rev 1.0 284 Freescale Semiconductor
Functional Description
*
Master in/slave out (MISO)
The main element of the SPI system is the SPI Data Register. The 8-bit data register in the master and the 8-bit data register in the slave are linked by the MOSI and MISO pins to form a distributed 16-bit register. When a data transfer operation is performed, this 16-bit register is serially shifted eight bit positions by the S-clock from the master, so data is exchanged between the master and the slave. Data written to the master SPI Data Register becomes the output data for the slave, and data read from the master SPI Data Register after a transfer operation is the input data from the slave. A read of SPISR with SPTEF=1 followed by a write to SPIDR puts data into the transmit data register. When a transfer is complete, received data is moved into the receive data register. Data may be read from this double-buffered system any time before the next transfer has completed. This 8-bit data register acts as the SPI receive data register for reads and as the SPI transmit data register for writes. A single SPI register address is used for reading data from the read data buffer and for writing data to the transmit data register. The clock phase control bit (CPHA) and a clock polarity control bit (CPOL) in the SPI Control Register 1 (SPICR1) select one of four possible clock formats to be used by the SPI system. The CPOL bit simply selects a non-inverted or inverted clock. The CPHA bit is used to accommodate two fundamentally different protocols by sampling data on odd numbered SCK edges or on even numbered SCK edges Section 9.4.3, "Transmission Formats." The SPI can be configured to operate as a master or as a slave. When the MSTR bit in SPI Control Register1 is set, master mode is selected, when the MSTR bit is clear, slave mode is selected.
9.4.1
Master Mode
The SPI operates in master mode when the MSTR bit is set. Only a master SPI module can initiate transmissions. A transmission begins by writing to the master SPI Data Register. If the shift register is empty, the byte immediately transfers to the shift register. The byte begins shifting out on the MOSI pin under the control of the serial clock. * SCK The SPR2, SPR1, and SPR0 baud rate selection bits in conjunction with the SPPR2, SPPR1, and SPPR0 baud rate preselection bits in the SPI Baud Rate register control the baud rate generator and determine the speed of the transmission. The SCK pin is the SPI clock output. Through the SCK pin, the baud rate generator of the master controls the shift register of the slave peripheral. * MOSI, SPI_MISO pin
In master mode, the function of the serial data output pin (MOSI) and the serial data input pin (MISO) is determined by the SPC0 and BIDIROE control bits. * SS pin If MODFEN and SSOE bit are set, the SS pin is configured as slave select output. The SS output becomes low during each transmission and is high when the SPI is in idle state. If MODFEN is set and SSOE is cleared, the SS pin is configured as input for detecting mode fault error. If the SS input becomes low this indicates a mode fault error where another master tries to drive the MOSI
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 285
Chapter 9 Serial Peripheral Interface (SPI) Block Description
and SCK lines. In this case, the SPI immediately switches to slave mode, by clearing the MSTR bit and also disables the slave output buffer MISO (or SISO in bidirectional mode). So the result is that all outputs are disabled and SCK, MOSI and MISO are inputs. If a transmission is in progress when the mode fault occurs, the transmission is aborted and the SPI is forced into idle state. This mode fault error also sets the mode fault (MODF) flag in the SPI Status Register (SPISR). If the SPI interrupt enable bit (SPIE) is set when the MODF flag gets set, then an SPI interrupt sequence is also requested. When a write to the SPI Data Register in the master occurs, there is a half SCK-cycle delay. After the delay, SCK is started within the master. The rest of the transfer operation differs slightly, depending on the clock format specified by the SPI clock phase bit, CPHA, in SPI Control Register 1 Section 9.4.3, "Transmission Formats." NOTE A change of the bits CPOL, CPHA, SSOE, LSBFE, MODFEN, SPC0, BIDIROE with SPC0 set, SPPR2-SPPR0 and SPR2-SPR0 in master mode will abort a transmission in progress and force the SPI into idle state. The remote slave cannot detect this, therefore the master has to ensure that the remote slave is set back to idle state.
9.4.2
Slave Mode
The SPI operates in slave mode when the MSTR bit in SPI Control Register1 is clear. * SCK clock In slave mode, SCK is the SPI clock input from the master. * MISO, MOSI pin In slave mode, the function of the serial data output pin (MISO) and serial data input pin (MOSI) is determined by the SPC0 bit and BIDIROE bit in SPI Control Register 2. * SS pin The SS pin is the slave select input. Before a data transmission occurs, the SS pin of the slave SPI must be low. SS must remain low until the transmission is complete. If SS goes high, the SPI is forced into idle state. The SS input also controls the serial data output pin, if SS is high (not selected), the serial data output pin is high impedance, and, if SS is low the first bit in the SPI Data Register is driven out of the serial data output pin. Also, if the slave is not selected (SS is high), then the SCK input is ignored and no internal shifting of the SPI shift register takes place. Although the SPI is capable of duplex operation, some SPI peripherals are capable of only receiving SPI data in a slave mode. For these simpler devices, there is no serial data out pin.
MC9S12NE64 Data Sheet, Rev 1.0 286 Freescale Semiconductor
Functional Description
NOTE When peripherals with duplex capability are used, take care not to simultaneously enable two receivers whose serial outputs drive the same system slave's serial data output line. As long as no more than one slave device drives the system slave's serial data output line, it is possible for several slaves to receive the same transmission from a master, although the master would not receive return information from all of the receiving slaves. If the CPHA bit in SPI Control Register 1 is clear, odd numbered edges on the SCK input cause the data at the serial data input pin to be latched. Even numbered edges cause the value previously latched from the serial data input pin to shift into the LSB or MSB of the SPI shift register, depending on the LSBFE bit. If the CPHA bit is set, even numbered edges on the SCK input cause the data at the serial data input pin to be latched. Odd numbered edges cause the value previously latched from the serial data input pin to shift into the LSB or MSB of the SPI shift register, depending on the LSBFE bit. When CPHA is set, the first edge is used to get the first data bit onto the serial data output pin. When CPHA is clear and the SS input is low (slave selected), the first bit of the SPI data is driven out of the serial data output pin. After the eighth shift, the transfer is considered complete and the received data is transferred into the SPI Data Register. To indicate transfer is complete, the SPIF flag in the SPI Status Register is set. NOTE A change of the bits CPOL, CPHA, SSOE, LSBFE, MODFEN, SPC0 and BIDIROE with SPC0 set in slave mode will corrupt a transmission in progress and has to be avoided.
9.4.3
Transmission Formats
During an SPI transmission, data is transmitted (shifted out serially) and received (shifted in serially) simultaneously. The serial clock (SCK) synchronizes shifting and sampling of the information on the two serial data lines. A slave select line allows selection of an individual slave SPI device, slave devices that are not selected do not interfere with SPI bus activities. Optionally, on a master SPI device, the slave select line can be used to indicate multiple-master bus contention.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 287
Chapter 9 Serial Peripheral Interface (SPI) Block Description
MASTER SPI MISO MOSI SCK BAUD RATE GENERATOR SS MISO MOSI SCK SS
SLAVE SPI
SHIFT REGISTER
SHIFT REGISTER
VDD
Figure 9-7. Master/Slave Transfer Block Diagram
9.4.4
Clock Phase and Polarity Controls
Using two bits in the SPI Control Register1, software selects one of four combinations of serial clock phase and polarity. The CPOL clock polarity control bit specifies an active high or low clock and has no significant effect on the transmission format. The CPHA clock phase control bit selects one of two fundamentally different transmission formats. Clock phase and polarity should be identical for the master SPI device and the communicating slave device. In some cases, the phase and polarity are changed between transmissions to allow a master device to communicate with peripheral slaves having different requirements.
9.4.5
CPHA = 0 Transfer Format
The first edge on the SCK line is used to clock the first data bit of the slave into the master and the first data bit of the master into the slave. In some peripherals, the first bit of the slave's data is available at the slave's data out pin as soon as the slave is selected. In this format, the first SCK edge is issued a half cycle after SS has become low. A half SCK cycle later, the second edge appears on the SCK line. When this second edge occurs, the value previously latched from the serial data input pin is shifted into the LSB or MSB of the shift register, depending on LSBFE bit. After this second edge, the next bit of the SPI master data is transmitted out of the serial data output pin of the master to the serial input pin on the slave. This process continues for a total of 16 edges on the SCK line, with data being latched on odd numbered edges and shifted on even numbered edges. Data reception is double buffered. Data is shifted serially into the SPI shift register during the transfer and is transferred to the parallel SPI Data Register after the last bit is shifted in. After the 16th (last) SCK edge:
MC9S12NE64 Data Sheet, Rev 1.0 288 Freescale Semiconductor
Functional Description
* *
Data that was previously in the master SPI Data Register should now be in the slave data register and the data that was in the slave data register should be in the master. The SPIF flag in the SPI Status Register is set indicating that the transfer is complete.
Figure 9-8 is a timing diagram of an SPI transfer where CPHA = 0. SCK waveforms are shown for CPOL = 0 and CPOL = 1. The diagram may be interpreted as a master or slave timing diagram since the SCK, MISO, and MOSI pins are connected directly between the master and the slave. The MISO signal is the output from the slave and the MOSI signal is the output from the master. The SS pin of the master must be either high or reconfigured as a general-purpose output not affecting the SPI.
End of Idle State SCK Edge Nr. SCK (CPOL = 0) SCK (CPOL = 1) 1 2 Begin 3 4 5 6 Transfer 7 8 9 10 11 12 End 13 14 15 16 Begin of Idle State
CHANGE O MOSI pin CHANGE O MISO pin SEL SS (O) Master only SEL SS (I)
tL
tT Bit 1 Bit 6
tI
tL
MSB first (LSBFE = 0): MSB Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 LSB first (LSBFE = 1): LSB Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 tL = Minimum leading time before the first SCK edge tT = Minimum trailing time after the last SCK edge tI = Minimum idling time between transfers (minimum SS high time) tL, tT, and tI are guaranteed for the master mode and required for the slave mode.
LSB Minimum 1/2 SCK for tT, tl, tL MSB
Figure 9-8. SPI Clock Format 0 (CPHA = 0)
In slave mode, if the SS line is not deasserted between the successive transmissions then the content of the SPI Data Register is not transmitted, instead the last received byte is transmitted. If the SS line is deasserted for at least minimum idle time (half SCK cycle) between successive transmissions then the content of the SPI Data Register is transmitted. In master mode, with slave select output enabled the SS line is always deasserted and reasserted between successive transfers for at least minimum idle time.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 289
If next transfer begins here
SAMPLE I MOSI/MISO
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.4.6
CPHA = 1 Transfer Format
Some peripherals require the first SCK edge before the first data bit becomes available at the data out pin, the second edge clocks data into the system. In this format, the first SCK edge is issued by setting the CPHA bit at the beginning of the 8-cycle transfer operation. The first edge of SCK occurs immediately after the half SCK clock cycle synchronization delay. This first edge commands the slave to transfer its first data bit to the serial data input pin of the master. A half SCK cycle later, the second edge appears on the SCK pin. This is the latching edge for both the master and slave. When the third edge occurs, the value previously latched from the serial data input pin is shifted into the LSB or MSB of the SPI shift register, depending on LSBFE bit. After this edge, the next bit of the master data is coupled out of the serial data output pin of the master to the serial input pin on the slave. This process continues for a total of 16 edges on the SCK line with data being latched on even numbered edges and shifting taking place on odd numbered edges. Data reception is double buffered, data is serially shifted into the SPI shift register during the transfer and is transferred to the parallel SPI Data Register after the last bit is shifted in. After the 16th SCK edge: * Data that was previously in the SPI Data Register of the master is now in the data register of the slave, and data that was in the data register of the slave is in the master. * The SPIF flag bit in SPISR is set indicating that the transfer is complete. Figure 9-9 shows two clocking variations for CPHA = 1. The diagram may be interpreted as a master or slave timing diagram since the SCK, MISO, and MOSI pins are connected directly between the master and the slave. The MISO signal is the output from the slave, and the MOSI signal is the output from the master. The SS line is the slave select input to the slave. The SS pin of the master must be either high or reconfigured as a general-purpose output not affecting the SPI.
MC9S12NE64 Data Sheet, Rev 1.0 290 Freescale Semiconductor
Functional Description
End of Idle State SCK Edge Nr. SCK (CPOL = 0) SCK (CPOL = 1) 1 2 3
Begin 4 5 6 7
Transfer 8 9 10 11 12
End 13 14 15 16
Begin of Idle State
CHANGE O MOSI pin CHANGE O MISO pin SEL SS (O) Master only SEL SS (I)
tL
tT
tI
tL
MSB first (LSBFE = 0): LSB first (LSBFE = 1):
MSB Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 LSB Minimum 1/2 SCK for tT, tl, tL LSB Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 Bit 6 MSB tL = Minimum leading time before the first SCK edge, not required for back to back transfers tT = Minimum trailing time after the last SCK edge tI = Minimum idling time between transfers (minimum SS high time), not required for back to back transfers
Figure 9-9. SPI Clock Format 1 (CPHA = 1)
The SS line can remain active low between successive transfers (can be tied low at all times). This format is sometimes preferred in systems having a single fixed master and a single slave that drive the MISO data line. * Back to Back transfers in master mode In master mode, if a transmission has completed and a new data byte is available in the SPI Data Register, this byte is send out immediately without a trailing and minimum idle time. The SPI interrupt request flag (SPIF) is common to both the master and slave modes. SPIF gets set one half SCK cycle after the last SCK edge.
9.4.7
SPI Baud Rate Generation
Baud rate generation consists of a series of divider stages. Six bits in the SPI Baud Rate register (SPPR2, SPPR1, SPPR0, SPR2, SPR1, and SPR0) determine the divisor to the SPI module clock which results in the SPI baud rate.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 291
If next transfer begins here
SAMPLE I MOSI/MISO
Chapter 9 Serial Peripheral Interface (SPI) Block Description
The SPI clock rate is determined by the product of the value in the baud rate preselection bits (SPPR2-SPPR0) and the value in the baud rate selection bits (SPR2-SPR0). The module clock divisor equation is shown in Figure 9-10. When all bits are clear (the default condition), the SPI module clock is divided by 2. When the selection bits (SPR2-SPR0) are 001 and the preselection bits (SPPR2-SPPR0) are 000, the module clock divisor becomes 4. When the selection bits are 010, the module clock divisor becomes 8 etc. When the preselection bits are 001, the divisor determined by the selection bits is multiplied by 2. When the preselection bits are 010, the divisor is multiplied by 3, etc. See Table 9-4 for baud rate calculations for all bit conditions, based on a 25 MHz Bus Clock. The two sets of selects allows the clock to be divided by a non-power of two to achieve other baud rates such as divide by 6, divide by 10, etc. The baud rate generator is activated only when the SPI is in the master mode and a serial transfer is taking place. In the other cases, the divider is disabled to decrease IDD current.
BaudRateDivisor = ( SPPR + 1 ) * 2
( SPR + 1 )
Figure 9-10. Baud Rate Divisor Equation
9.4.8
9.4.8.1
Special Features
SS Output
The SS output feature automatically drives the SS pin low during transmission to select external devices and drives it high during idle to deselect external devices. When SS output is selected, the SS output pin is connected to the SS input pin of the external device. The SS output is available only in master mode during normal SPI operation by asserting SSOE and MODFEN bit as shown in Table 9-2. The mode fault feature is disabled while SS output is enabled. NOTE Care must be taken when using the SS output feature in a multimaster system since the mode fault feature is not available for detecting system errors between masters.
9.4.8.2
Bidirectional Mode (MOMI or SISO)
The bidirectional mode is selected when the SPC0 bit is set in SPI Control Register 2 Table 9-5. In this mode, the SPI uses only one serial data pin for the interface with external device(s). The MSTR bit decides which pin to use. The MOSI pin becomes the serial data I/O (MOMI) pin for the master mode, and the MISO pin becomes serial data I/O (SISO) pin for the slave mode. The MISO pin in master mode and MOSI pin in slave mode are not used by the SPI.
MC9S12NE64 Data Sheet, Rev 1.0 292 Freescale Semiconductor
Functional Description
Table 9-5. Normal Mode and Bidirectional Mode When SPE = 1 Master Mode MSTR = 1 Slave Mode MSTR = 0
Serial Out
MOSI
Serial In SPI
MOSI
Normal Mode SPC0 = 0
SPI Serial In MISO
Serial Out
MISO
Serial Out
MOMI BIDIROE
Serial In BIDIROE SPI Serial Out SISO
Bidirectional Mode SPC0 = 1
SPI Serial In
.
The direction of each serial I/O pin depends on the BIDIROE bit. If the pin is configured as an output, serial data from the shift register is driven out on the pin. The same pin is also the serial input to the shift register. The SCK is output for the master mode and input for the slave mode. The SS is the input or output for the master mode, and it is always the input for the slave mode. The bidirectional mode does not affect SCK and SS functions. NOTE In bidirectional master mode, with mode fault enabled, both data pins MISO and MOSI can be occupied by the SPI, though MOSI is normally used for transmissions in bidirectional mode and MISO is not used by the SPI. If a mode fault occurs, the SPI is automatically switched to slave mode, in this case MISO becomes occupied by the SPI and MOSI is not used. This has to be considered, if the MISO pin is used for another purpose.
9.4.9
Error Conditions
The SPI has one error condition: * Mode fault error
9.4.9.1
Mode Fault Error
If the SS input becomes low while the SPI is configured as a master, it indicates a system error where more than one master may be trying to drive the MOSI and SCK lines simultaneously. This condition is not
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 293
Chapter 9 Serial Peripheral Interface (SPI) Block Description
permitted in normal operation, the MODF bit in the SPI Status Register is set automatically provided the MODFEN bit is set. In the special case where the SPI is in master mode and MODFEN bit is cleared, the SS pin is not used by the SPI. In this special case, the mode fault error function is inhibited and MODF remains cleared. In case the SPI system is configured as a slave, the SS pin is a dedicated input pin. Mode fault error doesn't occur in slave mode. If a mode fault error occurs the SPI is switched to slave mode, with the exception that the slave output buffer is disabled. So SCK, MISO and MOSI pins are forced to be high impedance inputs to avoid any possibility of conflict with another output driver. A transmission in progress is aborted and the SPI is forced into idle state. If the mode fault error occurs in the bidirectional mode for a SPI system configured in master mode, output enable of the MOMI (MOSI in bidirectional mode) is cleared if it was set. No mode fault error occurs in the bidirectional mode for SPI system configured in slave mode. The mode fault flag is cleared automatically by a read of the SPI Status Register (with MODF set) followed by a write to SPI Control Register 1. If the mode fault flag is cleared, the SPI becomes a normal master or slave again.
9.4.10
9.4.10.1
Low Power Mode Options
SPI in Run Mode
In run mode with the SPI system enable (SPE) bit in the SPI control register clear, the SPI system is in a low-power, disabled state. SPI registers can still be accessed, but clocks to the core of this module are disabled.
9.4.10.2
SPI in Wait Mode
SPI operation in wait mode depends upon the state of the SPISWAI bit in SPI Control Register 2. * * If SPISWAI is clear, the SPI operates normally when the CPU is in wait mode. If SPISWAI is set, SPI clock generation ceases and the SPI module enters a power conservation state when the CPU is in wait mode. -- If SPISWAI is set and the SPI is configured for master, any transmission and reception in progress stops at wait mode entry. The transmission and reception resumes when the SPI exits wait mode. -- If SPISWAI is set and the SPI is configured as a slave, any transmission and reception in progress continues if the SCK continues to be driven from the master. This keeps the slave synchronized to the master and the SCK. If the master transmits several bytes while the slave is in wait mode, the slave will continue to send out bytes consistent with the operation mode at the start of wait mode (i.e. If the slave is currently sending its SPIDR to the master, it will continue to send the same byte. Else if the slave is currently sending the last received byte from the master, it will continue to send each previous master byte).
MC9S12NE64 Data Sheet, Rev 1.0 294 Freescale Semiconductor
Functional Description
NOTE Care must be taken when expecting data from a master while the slave is in wait or stop mode. Even though the shift register will continue to operate, the rest of the SPI is shut down (i.e. a SPIF interrupt will not be generated until exiting stop or wait mode). Also, the byte from the shift register will not be copied into the SPIDR register until after the slave SPI has exited wait or stop mode. A SPIF flag and SPIDR copy is only generated if wait mode is entered or exited during a transmission. If the slave enters wait mode in idle mode and exits wait mode in idle mode, neither a SPIF nor a SPIDR copy will occur.
9.4.10.3
SPI in Stop Mode
Stop mode is dependent on the system. The SPI enters stop mode when the module clock is disabled (held high or low). If the SPI is in master mode and exchanging data when the CPU enters stop mode, the transmission is frozen until the CPU exits stop mode. After stop, data to and from the external SPI is exchanged correctly. In slave mode, the SPI will stay synchronized with the master. The stop mode is not dependent on the SPISWAI bit.
9.4.10.4
Reset
The reset values of registers and signals are described in the Memory Map and Registers section (see Section 9.3, "Memory Map and Register Descriptions"), which details the registers and their bit-fields. * If a data transmission occurs in slave mode after reset without a write to SPIDR, it will transmit garbage, or the byte last received from the master before the reset. * Reading from the SPIDR after reset will always read a byte of zeros.
9.4.10.5
Interrupts
The SPI only originates interrupt requests when SPI is enabled (SPE bit in SPICR1 set). The following is a description of how the SPI makes a request and how the MCU should acknowledge that request. The interrupt vector offset and interrupt priority are chip dependent. The interrupt flags MODF, SPIF and SPTEF are logically ORed to generate an interrupt request. 9.4.10.5.1 MODF
MODF occurs when the master detects an error on the SS pin. The master SPI must be configured for the MODF feature. After MODF is set, the current transfer is aborted and the following bit is changed: * MSTR=0, The master bit in SPICR1 resets. The MODF interrupt is reflected in the status register MODF flag. Clearing the flag will also clear the interrupt. This interrupt will stay active while the MODF flag is set. MODF has an automatic clearing process which is described in Section 9.3.2.4, "SPI Status Register (SPISR)."
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 295
Chapter 9 Serial Peripheral Interface (SPI) Block Description
9.4.10.5.2
SPIF
SPIF occurs when new data has been received and copied to the SPI Data Register. After SPIF is set, it does not clear until it is serviced. SPIF has an automatic clearing process which is described in Section 9.3.2.4, "SPI Status Register (SPISR)." In the event that the SPIF is not serviced before the end of the next transfer (i.e. SPIF remains active throughout another transfer), the latter transfers will be ignored and no new data will be copied into the SPIDR. 9.4.10.5.3 SPTEF
SPTEF occurs when the SPI Data Register is ready to accept new data. After SPTEF is set, it does not clear until it is serviced. SPTEF has an automatic clearing process which is described in Section 9.3.2.4, "SPI Status Register (SPISR)."
MC9S12NE64 Data Sheet, Rev 1.0 296 Freescale Semiconductor
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
10.1 Introduction
The inter-IC bus (IIC) is a two-wire, bidirectional serial bus that provides a simple, efficient method of data exchange between devices. Being a two-wire device, the IIC bus minimizes the need for large numbers of connections between devices, and eliminates the need for an address decoder. This bus is suitable for applications requiring occasional communications over a short distance between a number of devices. It also provides flexibility, allowing additional devices to be connected to the bus for further expansion and system development. The interface is designed to operate up to 100 kbps with maximum bus loading and timing. The device is capable of operating at higher baud rates, up to a maximum of clock/20, with reduced bus loading. The maximum communication length and the number of devices that can be connected are limited by a maximum bus capacitance of 400 pF.
10.1.1
Features
The IIC module has the following key features: * Compatible with I2C bus standard * Multi-master operation * Software programmable for one of 256 different serial clock frequencies * Software selectable acknowledge bit * Interrupt driven byte-by-byte data transfer * Arbitration lost interrupt with automatic mode switching from master to slave * Calling address identification interrupt * Start and stop signal generation/detection * Repeated start signal generation * Acknowledge bit generation/detection * Bus busy detection
10.1.2
Modes of Operation
The IIC functions the same in normal, special, and emulation modes. It has two low power modes, wait and stop modes. * Run mode This is the basic mode of operation. * Wait mode
IIC operation in wait mode can be configured. Depending on the state of internal bits, the IIC can operate normally when the CPU is in wait mode or the IIC clock generation can be
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 297
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
turned off and the IIC module enters a power conservation state during wait mode. In the latter case, any transmission or reception in progress stops at wait mode entry.
* Stop mode
The IIC is inactive in stop mode for reduced power consumption. The STOP instruction does not affect IIC register states.
10.1.3
Block Diagram
The block diagram of the IIC module is shown in Figure 10-1.
IIC Start Stop Arbitration Control
Registers
Interrupt Clock Control In/Out Data Shift Register SCL
bus_clock
SDA
Address Compare
Figure 10-1. IIC Block Diagram
10.2
External Signal Description
The IIC module has a total of 2 external pins.
10.2.1
IIC_SCL
This is the bidirectional serial clock line (SCL) of the module, compatible to the IIC bus specification.
10.2.2
IIC_SDA
This is the bidirectional serial data line (SDA) of the module, compatible to the IIC bus specification.
MC9S12NE64 Data Sheet, Rev 1.0 298 Freescale Semiconductor
Memory Map and Register Descriptions
10.3
Memory Map and Register Descriptions
This section provides a detailed description of all memory and registers for the IIC module.
10.3.1
Module Memory Map
The memory map for the IIC module is given below in Table 10-1. The address listed for each register is the address offset.The total address for each register is the sum of the base address for the IIC module and the address offset for each register.
Table 10-1. IIC Module Memory Map
Address Offset $_0 $_1 $_2 $_3 $_4 Use IIC-Bus Address Register (IBAD) IIC-Bus Frequency Divider Register (IBFD) IIC-Bus Control Register (IBCR) IIC-Bus Status Register (IBSR) IIC-Bus Data I/O Register (IBDR) Access R/W R/W R/W R/W R/W
10.3.2
Register Descriptions
This section consists of register descriptions in address order. Each description includes a standard register diagram with an associated figure number. Details of register bit and field function follow the register diagrams, in bit order.
10.3.2.1
IIC Address Register (IBAD)
7 6 ADR6 0 5 ADR5 0 4 ADR4 0 3 ADR3 0 2 ADR2 0 1 ADR1 0 0 0 0
Module Base + $0
R W RESET: ADR7 0
= Unimplemented or Reserved
Figure 10-2. IIC Bus Address Register (IBAD)
Read and write anytime This register contains the address the IIC bus will respond to when addressed as a slave; note that it is not the address sent on the bus during the address transfer. ADR7-ADR1 -- Slave Address Bit 1 to bit 7 contain the specific slave address to be used by the IIC bus module.The default mode of IIC bus is slave mode for an address match on the bus. RESERVED Bit 0 of the IBAD is reserved for future compatibility. This bit will always read 0.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 299
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
10.3.2.2
IIC Frequency Divider Register (IBFD)
7 6 IBC6 0 5 IBC5 0 4 IBC4 0 3 IBC3 0 2 IBC2 0 1 IBC1 0 0 IBC0 0
Module Base + $1
R W RESET: IBC7 0
Figure 10-3. IIC Bus Frequency Divider Register (IBFD)
Read and write anytime IBC7-IBC0 -- I-Bus Clock Rate 7-0 This field is used to prescale the clock for bit rate selection. The bit clock generator is implemented as a prescale divider - IBC7-6, prescaled shift register - IBC5-3 select the prescaler divider and IBC2-0 select the shift register tap point. The IBC bits are decoded to give the tap and prescale values as shown in Table 10-2.
Table 10-2. I-Bus Tap and Prescale Values IBC2-0 (bin) 000 001 010 011 100 101 110 111 IBC5-3 (bin) 000 001 010 011 100 101 110 111 scl2start (clocks) 2 2 2 6 14 30 62 126 SCL Tap (clocks) 5 6 7 8 9 10 12 15 scl2stop (clocks) 7 7 9 9 17 33 65 129 SDA Tap (clocks) 1 1 2 2 3 3 4 4 scl2tap (clocks) 4 4 6 6 14 30 62 126 tap2tap (clocks) 1 2 4 8 16 32 64 128
Table 10-3. Multiplier Factor IBC7-6 00 MUL 01
MC9S12NE64 Data Sheet, Rev 1.0 300 Freescale Semiconductor
Memory Map and Register Descriptions
Table 10-3. Multiplier Factor IBC7-6 01 10 11 MUL 02 04 RESERVED
The number of clocks from the falling edge of SCL to the first tap (Tap[1]) is defined by the values shown in the scl2tap column of Table 10-2, all subsequent tap points are separated by 2IBC5-3 as shown in the tap2tap column in Table 10-2. The SCL Tap is used to generated the SCL period and the SDA Tap is used to determine the delay from the falling edge of SCL to SDA changing, the SDA hold time. IBC7-6 defines the multiplier factor MUL. The values of MUL are shown in the Table 10-3.
SCL Divider
SCL
SDA
SDA Hold
SDA
SCL Hold(start)
SCL Hold(stop)
SCL
START condition
STOP condition
Figure 10-4. SCL Divider and SDA Hold
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 301
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
The equation used to generate the divider values from the IBFD bits is: SCL Divider = MUL x {2 x (scl2tap + [(SCL_Tap -1) x tap2tap] + 2)} The SDA hold delay is equal to the CPU clock period multiplied by the SDA Hold value shown in Table 10-4. The equation used to generate the SDA Hold value from the IBFD bits is: SDA Hold = MUL x {scl2tap + [(SDA_Tap - 1) x tap2tap] + 3} The equation for SCL Hold values to generate the start and stop conditions from the IBFD bits is: SCL Hold(start) = MUL x [scl2start + (SCL_Tap - 1) x tap2tap] SCL Hold(stop) = MUL x [scl2stop + (SCL_Tap - 1) x tap2tap]
Table 10-4. IIC Divider and Hold Values (Sheet 1 of 5) IBC[7:0] (hex) SCL Divider (clocks)
20 22 24 26 28 30 34 40 28 32 36 40 44 48 56 68 48 56 64 72 80 88 104 128 80 96 112 128 144 7 7 8 8 9 9 10 10 7 7 9 9 11 11 13 13 9 9 13 13 17 17 21 21 9 9 17 17 25
SDA Hold (clocks)
6 7 8 9 10 11 13 16 10 12 14 16 18 20 24 30 18 22 26 30 34 38 46 58 38 46 54 62 70
SCL Hold (start)
11 12 13 14 15 16 18 21 15 17 19 21 23 25 29 35 25 29 33 37 41 45 53 65 41 49 57 65 73
SCL Hold (stop)
MUL=1
00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C
MC9S12NE64 Data Sheet, Rev 1.0 302 Freescale Semiconductor
Memory Map and Register Descriptions
Table 10-4. IIC Divider and Hold Values (Sheet 2 of 5) IBC[7:0] (hex)
1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 160 192 240 160 192 224 256 288 320 384 480 320 384 448 512 576 640 768 960 640 768 896 1024 1152 1280 1536 1920 1280 1536 1792 2048 2304 2560 3072 3840 40 44 48 52 56 60
SCL Divider (clocks)
25 33 33 17 17 33 33 49 49 65 65 33 33 65 65 97 97 129 129 65 65 129 129 193 193 257 257 129 129 257 257 385 385 513 513 14 14 16 16 18 18
SDA Hold (clocks)
78 94 118 78 94 110 126 142 158 190 238 158 190 222 254 286 318 382 478 318 382 446 510 574 638 766 958 638 766 894
SCL Hold (start)
81 97 121 81 97 113 129 145 161 193 241 161 193 225 257 289 321 385 481 321 385 449 513 577 641 769 961 641 769 897
SCL Hold (stop)
1022 1150 1278 1534 1918 12 14 16 18 20 22
1025 1153 1281 1537 1921 22 24 26 28 30 32
MUL=2
40 41 42 43 44 45
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 303
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
Table 10-4. IIC Divider and Hold Values (Sheet 3 of 5) IBC[7:0] (hex)
46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 68 80 56 64 72 80 88 96 112 136 96 112 128 144 160 176 208 256 160 192 224 256 288 320 384 480 320 384 448 512 576 640 768 960 640 768 896 1024 1152 1280 1536 1920
SCL Divider (clocks)
20 20 14 14 18 18 22 22 26 26 18 18 26 26 34 34 42 42 18 18 34 34 50 50 66 66 34 34 66 66 98 98 130 130 66 66 130 130 194 194 258 258
SDA Hold (clocks)
26 32 20 24 28 32 36 40 48 60 36 44 52 60 68 76 92 116 76 92 108 124 140 156 188 236 156 188 220 252 284 316 380 476 316 380 444 508 572 636 764 956
SCL Hold (start)
36 42 30 34 38 42 46 50 58 70 50 58 66 74 82 90 106 130 82 98 114 130 146 162 194 242 162 194 226 258 290 322 386 482 322 386 450 514 578 642 770 962
SCL Hold (stop)
MC9S12NE64 Data Sheet, Rev 1.0 304 Freescale Semiconductor
Memory Map and Register Descriptions
Table 10-4. IIC Divider and Hold Values (Sheet 4 of 5) IBC[7:0] (hex)
70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F
SCL Divider (clocks)
1280 1536 1792 2048 2304 2560 3072 3840 2560 3072 3584 4096 4608 5120 6144 7680 80 88 96 104 112 120 136 160 112 128 144 160 176 192 224 272 192 224 256 288 320 352 416 512 320 130 130 258 258 386 386 514 514 258 258 514 514 770 770
SDA Hold (clocks)
636 764 892
SCL Hold (start)
642 770 898
SCL Hold (stop)
1020 1148 1276 1532 1916 1276 1532 1788 2044 2300 2556 3068 3836 24 28 32 36 40 44 52 64 40 48 56 64 72 80 96 120 72 88 104 120 136 152 184 232 152
1026 1154 1282 1538 1922 1282 1538 1794 2050 2306 2562 3074 3842 44 48 52 56 60 64 72 84 60 68 76 84 92 100 116 140 100 116 132 148 164 180 212 260 164
1026 1026 28 28 32 32 36 36 40 40 28 28 36 36 44 44 52 52 36 36 52 52 68 68 84 84 36
MUL=4
80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 305
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
Table 10-4. IIC Divider and Hold Values (Sheet 5 of 5) IBC[7:0] (hex)
99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF 384 448 512 576 640 768 960 640 768 896 1024 1152 1280 1536 1920 1280 1536 1792 2048 2304 2560 3072 3840 2560 3072 3584 4096 4608 5120 6144 7680 5120 6144 7168 8192 9216 10240 12288 15360
SCL Divider (clocks)
36 68 68 100 100 132 132 68 68 132 132 196 196 260 260 132 132 260 260 388 388 516 516 260 260 516 516 772 772
SDA Hold (clocks)
184 216 248 280 312 376 472 312 376 440 504 568 632 760 952 632 760 888
SCL Hold (start)
196 228 260 292 324 388 484 324 388 452 516 580 644 772 964 644 772 900
SCL Hold (stop)
1016 1144 1272 1528 1912 1272 1528 1784 2040 2296 2552 3064 3832 2552 3064 3576 4088 4600 5112 6136 7672
1028 1156 1284 1540 1924 1284 1540 1796 2052 2308 2564 3076 3844 2564 3076 3588 4100 4612 5124 6148 7684
1028 1028 516 516 1028 1028 1540 1540 2052 2052
MC9S12NE64 Data Sheet, Rev 1.0 306 Freescale Semiconductor
Memory Map and Register Descriptions
10.3.2.3
IIC Control Register (IBCR)
7 6 IBIE 0 5 MS/SL 0 4 Tx/Rx 0 3 TXAK 0 2 0 RSTA 0 1 0 0 0 IBSWAI 0
Module Base + $2
R W RESET: IBEN 0
= Unimplemented or Reserved
Figure 10-5. IIC-Bus Control Register (IBCR)
Read and write anytime IBEN -- I-Bus Enable This bit controls the software reset of the entire IIC bus module. 0 = The module is reset and disabled. This is the power-on reset situation. When low the interface is held in reset but registers can still be accessed 1 = The IIC bus module is enabled.This bit must be set before any other IBCR bits have any effect If the IIC bus module is enabled in the middle of a byte transfer the interface behaves as follows: slave mode ignores the current transfer on the bus and starts operating whenever a subsequent start condition is detected. Master mode will not be aware that the bus is busy, hence if a start cycle is initiated then the current bus cycle may become corrupt. This would ultimately result in either the current bus master or the IIC bus module losing arbitration, after which bus operation would return to normal. IBIE -- I-bus Interrupt Enable 0 = Interrupts from the IIC bus module are disabled. Note that this does not clear any currently pending interrupt condition 1 = Interrupts from the IIC bus module are enabled. An IIC bus interrupt occurs provided the IBIF bit in the status register is also set. MS/SL -- Master/Slave mode select bit Upon reset, this bit is cleared. When this bit is changed from 0 to 1, a START signal is generated on the bus, and the master mode is selected. When this bit is changed from 1 to 0, a STOP signal is generated and the operation mode changes from master to slave.A STOP signal should only be generated if the IBIF flag is set. MS/SL is cleared without generating a STOP signal when the master loses arbitration. 0 = Slave Mode 1 = Master Mode Tx/Rx -- Transmit/Receive mode select bit This bit selects the direction of master and slave transfers. When addressed as a slave this bit should be set by software according to the SRW bit in the status register. In master mode this bit should be set according to the type of transfer required. Therefore, for address cycles, this bit will always be high. 0 = Receive 1 = Transmit
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 307
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
TXAK -- Transmit Acknowledge enable This bit specifies the value driven onto SDA during data acknowledge cycles for both master and slave receivers. The IIC module will always acknowledge address matches, provided it is enabled, regardless of the value of TXAK. Note that values written to this bit are only used when the IIC bus is a receiver, not a transmitter. 0 = An acknowledge signal will be sent out to the bus at the 9th clock bit after receiving one byte data 1 = No acknowledge signal response is sent (i.e., acknowledge bit = 1) RSTA -- Repeat Start Writing a 1 to this bit will generate a repeated START condition on the bus, provided it is the current bus master. This bit will always be read as a low. Attempting a repeated start at the wrong time, if the bus is owned by another master, will result in loss of arbitration. 1 = Generate repeat start cycle RESERVED Bit 1 of the IBCR is reserved for future compatibility. This bit will always read 0. IBSWAI -- I-bus Interface Stop in wait mode 0 = IIC bus module clock operates normally 1 = Halt IIC bus module clock generation in wait mode Wait mode is entered via execution of a CPU WAI instruction. In the event that the IBSWAI bit is set, all clocks internal to the IIC will be stopped and any transmission currently in progress will halt.If the CPU were woken up by a source other than the IIC module, then clocks would restart and the IIC would continue where it left off in the previous transmission. It is not possible for the IIC to wake up the CPU when its internal clocks are stopped. If it were the case that the IBSWAI bit was cleared when the WAI instruction was executed, the IIC internal clocks and interface would remain alive, continuing the operation which was currently underway. It is also possible to configure the IIC such that it will wake up the CPU via an interrupt at the conclusion of the current operation. See the discussion on the IBIF and IBIE bits in the IBSR and IBCR, respectively.
10.3.2.4
IIC Status Register (IBSR)
7 TCF 1 6 IAAS 0 5 IBB 0 4 IBAL 0 3 0 0 2 SRW 0 1 IBIF 0 0 RXAK 0
Module Base + $3
R W RESET:
= Unimplemented or Reserved
Figure 10-6. IIC Bus Status Register (IBSR)
This status register is read-only with exception of bit 1 (IBIF) and bit 4 (IBAL), which are software clearable.
MC9S12NE64 Data Sheet, Rev 1.0 308 Freescale Semiconductor
Memory Map and Register Descriptions
TCF -- Data transferring bit While one byte of data is being transferred, this bit is cleared. It is set by the falling edge of the 9th clock of a byte transfer. Note that this bit is only valid during or immediately following a transfer to the IIC module or from the IIC module. 0 = Transfer in progress 1 = Transfer complete IAAS -- Addressed as a slave bit When its own specific address (I-bus address register) is matched with the calling address, this bit is set.The CPU is interrupted provided the IBIE is set.Then the CPU needs to check the SRW bit and set its Tx/Rx mode accordingly.Writing to the I-bus control register clears this bit. 0 = Not addressed 1 = Addressed as a slave IBB -- Bus busy bit 0 = This bit indicates the status of the bus. When a START signal is detected, the IBB is set. If a STOP signal is detected, IBB is cleared and the bus enters idle state. 1 = Bus is busy IBAL -- Arbitration Lost The arbitration lost bit (IBAL) is set by hardware when the arbitration procedure is lost. Arbitration is lost in the following circumstances: 1. SDA sampled low when the master drives a high during an address or data transmit cycle. 2. SDA sampled low when the master drives a high during the acknowledge bit of a data receive cycle. 3. A start cycle is attempted when the bus is busy. 4. A repeated start cycle is requested in slave mode. 5. A stop condition is detected when the master did not request it. This bit must be cleared by software, by writing a one to it. A write of 0 has no effect on this bit. RESERVED Bit 3 of IBSR is reserved for future use. A read operation on this bit will return 0. SRW -- Slave Read/Write When IAAS is set this bit indicates the value of the R/W command bit of the calling address sent from the master This bit is only valid when the I-bus is in slave mode, a complete address transfer has occurred with an address match and no other transfers have been initiated. Checking this bit, the CPU can select slave transmit/receive mode according to the command of the master. 0 = Slave receive, master writing to slave 1 = Slave transmit, master reading from slave IBIF -- I-bus Interrupt The IBIF bit is set when one of the following conditions occurs: -- Arbitration lost (IBAL bit set) -- Byte transfer complete (TCF bit set) -- Addressed as slave (IAAS bit set)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 309
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
It will cause a processor interrupt request if the IBIE bit is set. This bit must be cleared by software, writing a one to it. A write of 0 has no effect on this bit. RXAK -- Received Acknowledge The value of SDA during the acknowledge bit of a bus cycle. If the received acknowledge bit (RXAK) is low, it indicates an acknowledge signal has been received after the completion of 8 bits data transmission on the bus. If RXAK is high, it means no acknowledge signal is detected at the 9th clock. 0 = Acknowledge received 1 = No acknowledge received
10.3.2.5
IIC Data I/O Register (IBDR)
7 6 D6 0 5 D5 0 4 D4 0 3 D3 0 2 D2 0 1 D1 0 0 D0 0
Module Base + $
R W RESET: D7 0
Figure 10-7. IIC Bus Data I/O Register (IBDR)
In master transmit mode, when data is written to the IBDR a data transfer is initiated. The most significant bit is sent first. In master receive mode, reading this register initiates next byte data receiving. In slave mode, the same functions are available after an address match has occurred.Note that the Tx/Rx bit in the IBCR must correctly reflect the desired direction of transfer in master and slave modes for the transmission to begin. For instance, if the IIC is configured for master transmit but a master receive is desired, then reading the IBDR will not initiate the receive. Reading the IBDR will return the last byte received while the IIC is configured in either master receive or slave receive modes. The IBDR does not reflect every byte that is transmitted on the IIC bus, nor can software verify that a byte has been written to the IBDR correctly by reading it back. In master transmit mode, the first byte of data written to IBDR following assertion of MS/SL is used for the address transfer and should com.prise of the calling address (in position D7-D1) concatenated with the required R/W bit (in position D0).
10.4
Functional Description
This section provides a complete functional description of the IIC.
10.4.1
I-Bus Protocol
The IIC bus system uses a serial data line (SDA) and a serial clock line (SCL) for data transfer. All devices connected to it must have open drain or open collector outputs. Logic AND function is exercised on both lines with external pull-up resistors. The value of these resistors is system dependent. Normally, a standard communication is composed of four parts: START signal, slave address transmission, data transfer and STOP signal. They are described briefly in the following sections and illustrated in Figure 10-8.
MC9S12NE64 Data Sheet, Rev 1.0 310 Freescale Semiconductor
Functional Description
MSB SCL 1 2 3 4 5 6 7
LSB 8 9
MSB 1 2 3 4 5 6 7
LSB 8 9
SDA
AD7 AD6 AD5 AD4 AD3 AD2 AD1 R/W
XXX
D7
D6
D5
D4
D3
D2
D1
D0
Start Signal
Calling Address
Read/ Write
Ack Bit
Data Byte
No Stop Ack Signal Bit LSB
MSB SCL 1 2 3 4 5 6 7
LSB 8 9
MSB 1 2 3 4 5 6 7
8
9
SDA
AD7 AD6 AD5 AD4 AD3 AD2 AD1 R/W
XX
AD7 AD6 AD5 AD4 AD3 AD2 AD1 R/W
Start Signal
Calling Address
Read/ Write
Ack Bit
Repeated Start Signal
New Calling Address
Read/ Write
No Stop Ack Signal Bit
Figure 10-8. IIC-Bus Transmission Signals
10.4.1.1
START Signal
When the bus is free, i.e. no master device is engaging the bus (both SCL and SDA lines are at logical high), a master may initiate communication by sending a START signal.As shown in Figure 10-8, a START signal is defined as a high-to-low transition of SDA while SCL is high. This signal denotes the beginning of a new data transfer (each data transfer may contain several bytes of data) and brings all slaves out of their idle states.
SDA
SCL
START condition
STOP condition
Figure 10-9. Start and Stop Conditions
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 311
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
10.4.1.2
Slave Address Transmission
The first byte of data transfer immediately after the START signal is the slave address transmitted by the master. This is a seven-bit calling address followed by a R/W bit. The R/W bit tells the slave the desired direction of data transfer. 1 = Read transfer, the slave transmits data to the master. 0 = Write transfer, the master transmits data to the slave. Only the slave with a calling address that matches the one transmitted by the master will respond by sending back an acknowledge bit. This is done by pulling the SDA low at the 9th clock (see Figure 10-8). No two slaves in the system may have the same address. If the IIC bus is master, it must not transmit an address that is equal to its own slave address. The IIC bus cannot be master and slave at the same time.However, if arbitration is lost during an address cycle the IIC bus will revert to slave mode and operate correctly even if it is being addressed by another master.
10.4.1.3
Data Transfer
As soon as successful slave addressing is achieved, the data transfer can proceed byte-by-byte in a direction specified by the R/W bit sent by the calling master All transfers that come after an address cycle are referred to as data transfers, even if they carry sub-address information for the slave device. Each data byte is 8 bits long. Data may be changed only while SCL is low and must be held stable while SCL is high as shown in Figure 10-8. There is one clock pulse on SCL for each data bit, the MSB being transferred first. Each data byte has to be followed by an acknowledge bit, which is signalled from the receiving device by pulling the SDA low at the ninth clock. So one complete data byte transfer needs nine clock pulses. If the slave receiver does not acknowledge the master, the SDA line must be left high by the slave. The master can then generate a stop signal to abort the data transfer or a start signal (repeated start) to commence a new calling. If the master receiver does not acknowledge the slave transmitter after a byte transmission, it means 'end of data' to the slave, so the slave releases the SDA line for the master to generate STOP or START signal.
10.4.1.4
STOP Signal
The master can terminate the communication by generating a STOP signal to free the bus. However, the master may generate a START signal followed by a calling command without generating a STOP signal first. This is called repeated START. A STOP signal is defined as a low-to-high transition of SDA while SCL at logical 1 (see Figure 10-8). The master can generate a STOP even if the slave has generated an acknowledge at which point the slave must release the bus.
MC9S12NE64 Data Sheet, Rev 1.0 312 Freescale Semiconductor
Functional Description
10.4.1.5
Repeated START Signal
As shown in Figure 10-8, a repeated START signal is a START signal generated without first generating a STOP signal to terminate the communication. This is used by the master to communicate with another slave or with the same slave in different mode (transmit/receive mode) without releasing the bus.
10.4.1.6
Arbitration Procedure
The Inter-IC bus is a true multi-master bus that allows more than one master to be connected on it. If two or more masters try to control the bus at the same time, a clock synchronization procedure determines the bus clock, for which the low period is equal to the longest clock low period and the high is equal to the shortest one among the masters. The relative priority of the contending masters is determined by a data arbitration procedure, a bus master loses arbitration if it transmits logic 1 while another master transmits logic 0. The losing masters immediately switch over to slave receive mode and stop driving SDA output. In this case the transition from master to slave mode does not generate a STOP condition. Meanwhile, a status bit is set by hardware to indicate loss of arbitration.
10.4.1.7
Clock Synchronization
Because wire-AND logic is performed on SCL line, a high-to-low transition on SCL line affects all the devices connected on the bus. The devices start counting their low period and as soon as a device's clock has gone low, it holds the SCL line low until the clock high state is reached.However, the change of low to high in this device clock may not change the state of the SCL line if another device clock is still within its low period. Therefore, synchronized clock SCL is held low by the device with the longest low period. Devices with shorter low periods enter a high wait state during this time (see Figure 10-9). When all devices concerned have counted off their low period, the synchronized clock SCL line is released and pulled high. There is then no difference between the device clocks and the state of the SCL line and all the devices start counting their high periods.The first device to complete its high period pulls the SCL line low again.
WAIT SCL1 Start Counting High Period
SCL2
SCL
Internal Counter Reset
Figure 10-10. IIC-Bus Clock Synchronization
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 313
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
10.4.1.8
Handshaking
The clock synchronization mechanism can be used as a handshake in data transfer. Slave devices may hold the SCL low after completion of one byte transfer (9 bits). In such case, it halts the bus clock and forces the master clock into wait states until the slave releases the SCL line.
10.4.1.9
Clock Stretching
The clock synchronization mechanism can be used by slaves to slow down the bit rate of a transfer. After the master has driven SCL low the slave can drive SCL low for the required period and then release it.If the slave SCL low period is greater than the master SCL low period then the resulting SCL bus signal low period is stretched.
10.4.2
Modes of Operation
The IIC functions the same in normal, special, and emulation modes. It has two low power modes, wait and stop modes
10.4.2.1
Run Mode
This is the basic mode of operation.
10.4.2.2
Wait Mode
IIC operation in wait mode can be configured. Depending on the state of internal bits, the IIC can operate normally when the CPU is in wait mode or the IIC clock generation can be turned off and the IIC module enters a power conservation state during wait mode. In the later case, any transmission or reception in progress stops at wait mode entry.
10.4.2.3
Stop Mode
The IIC is inactive in stop mode for reduced power consumption. The STOP instruction does not affect IIC register states.
10.5
10.5.1
Initialization/Application Information
IIC Programming Examples
Initialization Sequence
10.5.1.1
Reset will put the IIC bus control register to its default status. Before the interface can be used to transfer serial data, an initialization procedure must be carried out, as follows: 1. Update the frequency divider register (IBFD) and select the required division ratio to obtain SCL frequency from system clock. 2. Update the IIC bus address register (IBAD) to define its slave address. 3. Set the IBEN bit of the IIC bus control register (IBCR) to enable the IIC interface system.
MC9S12NE64 Data Sheet, Rev 1.0 314 Freescale Semiconductor
Initialization/Application Information
4. Modify the bits of the IIC bus control register (IBCR) to select master/slave mode, transmit/receive mode and interrupt enable or not.
10.5.1.2
Generation of START
After completion of the initialization procedure, serial data can be transmitted by selecting the 'master transmitter' mode. If the device is connected to a multi-master bus system, the state of the IIC bus busy bit (IBB) must be tested to check whether the serial bus is free. If the bus is free (IBB=0), the start condition and the first byte (the slave address) can be sent. The data written to the data register comprises the slave calling address and the LSB set to indicate the direction of transfer required from the slave. The bus free time (i.e., the time between a STOP condition and the following START condition) is built into the hardware that generates the START cycle. Depending on the relative frequencies of the system clock and the SCL period it may be necessary to wait until the IIC is busy after writing the calling address to the IBDR before proceeding with the following instructions. This is illustrated in the following example. An example of a program which generates the START signal and transmits the first byte of data (slave address) is shown below:
CHFLAG TXSTART IBFREE BRSET BSET MOVB BRCLR IBSR,#$20,* IBCR,#$30 CALLING,IBDR IBSR,#$20,* ;WAIT FOR IBB FLAG TO CLEAR ;SET TRANSMIT AND MASTER MODE;i.e. GENERATE START CONDITION ;TRANSMIT THE CALLING ADDRESS, D0=R/W ;WAIT FOR IBB FLAG TO SET
10.5.1.3
Post-Transfer Software Response
Transmission or reception of a byte will set the data transferring bit (TCF) to 1, which indicates one byte communication is finished. The IIC bus interrupt bit (IBIF) is set also; an interrupt will be generated if the interrupt function is enabled during initialization by setting the IBIE bit. Software must clear the IBIF bit in the interrupt routine first. The TCF bit will be cleared by reading from the IIC bus data I/O register (IBDR) in receive mode or writing to IBDR in transmit mode. Software may service the IIC I/O in the main program by monitoring the IBIF bit if the interrupt function is disabled. Note that polling should monitor the IBIF bit rather than the TCF bit because their operation is different when arbitration is lost. Note that when an interrupt occurs at the end of the address cycle the master will always be in transmit mode, i.e. the address is transmitted. If master receive mode is required, indicated by R/W bit in IBDR, then the Tx/Rx bit should be toggled at this stage. During slave mode address cycles (IAAS=1), the SRW bit in the status register is read to determine the direction of the subsequent transfer and the Tx/Rx bit is programmed accordingly. For slave mode data cycles (IAAS=0) the SRW bit is not valid, the Tx/Rx bit in the control register should be read to determine the direction of the current transfer. The following is an example of a software response by a 'master transmitter' in the interrupt routine.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 315
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
ISR
TRANSMIT
BCLR BRCLR BRCLR BRSET MOVB
IBSR,#$02 IBCR,#$20,SLAVE IBCR,#$10,RECEIVE IBSR,#$01,END DATABUF,IBDR
;CLEAR THE IBIF FLAG ;BRANCH IF IN SLAVE MODE ;BRANCH IF IN RECEIVE MODE ;IF NO ACK, END OF TRANSMISSION ;TRANSMIT NEXT BYTE OF DATA
10.5.1.4
Generation of STOP
A data transfer ends with a STOP signal generated by the 'master' device. A master transmitter can simply generate a STOP signal after all the data has been transmitted. The following is an example showing how a stop condition is generated by a master transmitter.
MASTX TST BEQ BRSET MOVB DEC BRA BCLR RTI TXCNT END IBSR,#$01,END DATABUF,IBDR TXCNT EMASTX IBCR,#$20 ;GET VALUE FROM THE TRANSMITING COUNTER ;END IF NO MORE DATA ;END IF NO ACK ;TRANSMIT NEXT BYTE OF DATA ;DECREASE THE TXCNT ;EXIT ;GENERATE A STOP CONDITION ;RETURN FROM INTERRUPT
END EMASTX
If a master receiver wants to terminate a data transfer, it must inform the slave transmitter by not acknowledging the last byte of data which can be done by setting the transmit acknowledge bit (TXAK) before reading the 2nd last byte of data. Before reading the last byte of data, a STOP signal must be generated first. The following is an example showing how a STOP signal is generated by a master receiver.
MASR DEC BEQ MOVB DEC BNE BSET BRA BCLR MOVB RTI RXCNT ENMASR RXCNT,D1 D1 NXMAR IBCR,#$08 NXMAR IBCR,#$20 IBDR,RXBUF ;DECREASE THE RXCNT ;LAST BYTE TO BE READ ;CHECK SECOND LAST BYTE ;TO BE READ ;NOT LAST OR SECOND LAST ;SECOND LAST, DISABLE ACK ;TRANSMITTING ;LAST ONE, GENERATE `STOP' SIGNAL ;READ DATA AND STORE
LAMAR
ENMASR NXMAR
10.5.1.5
Generation of Repeated START
At the end of data transfer, if the master still wants to communicate on the bus, it can generate another START signal followed by another slave address without first generating a STOP signal. A program example is as shown.
RESTART BSET MOVB IBCR,#$04 CALLING,IBDR ;ANOTHER START (RESTART) ;TRANSMIT THE CALLING ADDRESS;D0=R/W
MC9S12NE64 Data Sheet, Rev 1.0 316 Freescale Semiconductor
Initialization/Application Information
10.5.1.6
Slave Mode
In the slave interrupt service routine, the module addressed as slave bit (IAAS) should be tested to check if a calling of its own address has just been received. If IAAS is set, software should set the transmit/receive mode select bit (Tx/Rx bit of IBCR) according to the R/W command bit (SRW). Writing to the IBCR clears the IAAS automatically. Note that the only time IAAS is read as set is from the interrupt at the end of the address cycle where an address match occurred, interrupts resulting from subsequent data transfers will have IAAS cleared. A data transfer may now be initiated by writing information to IBDR, for slave transmits, or dummy reading from IBDR, in slave receive mode. The slave will drive SCL low in-between byte transfers, SCL is released when the IBDR is accessed in the required mode. In slave transmitter routine, the received acknowledge bit (RXAK) must be tested before transmitting the next byte of data. Setting RXAK means an 'end of data' signal from the master receiver, after which it must be switched from transmitter mode to receiver mode by software. A dummy read then releases the SCL line so that the master can generate a STOP signal.
10.5.1.7
Arbitration Lost
If several masters try to engage the bus simultaneously, only one master wins and the others lose arbitration. The devices which lost arbitration are immediately switched to slave receive mode by the hardware. Their data output to the SDA line is stopped, but SCL is still generated until the end of the byte during which arbitration was lost. An interrupt occurs at the falling edge of the ninth clock of this transfer with IBAL=1 and MS/SL=0. If one master attempts to start transmission while the bus is being engaged by another master, the hardware will inhibit the transmission; switch the MS/SL bit from 1 to 0 without generating STOP condition; generate an interrupt to CPU and set the IBAL to indicate that the attempt to engage the bus is failed. When considering these cases, the slave service routine should test the IBAL first and the software should clear the IBAL bit if it is set.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 317
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
Clear IBIF
Y
Master Mode ?
N
TX
Tx/Rx ?
RX
Y
Arbitration Lost ? N
Last Byte Transmitted ? N
Y
Clear IBAL
RXAK=0 ? Y End Of Addr Cycle (Master Rx) ? N
N
Last Byte To Be Read ? N
Y
N
IAAS=1 ? Y
Y
IAAS=1 ? N
Address Transfer Y Y 2nd Last Byte To Be Read ? N Y (Read) SRW=1 ? N (Write) Y
Data Transfer TX/RX ? TX ACK From Receiver ? N Read Data From IBDR And Store RX
Write Next Byte To IBDR
Set TXAK =1
Generate Stop Signal
Set TX Mode
Write Data To IBDR
Tx Next Byte
Switch To Rx Mode
Set RX Mode
Switch To Rx Mode
Dummy Read From IBDR
Generate Stop Signal
Read Data From IBDR And Store
Dummy Read From IBDR
Dummy Read From IBDR
RTI
Figure 10-11. Flow-Chart of Typical IIC Interrupt Routine
MC9S12NE64 Data Sheet, Rev 1.0 318 Freescale Semiconductor
Resets
10.6
Resets
The reset state of each individual bit is listed in Section 10.3, "Memory Map and Register Descriptions," which details the registers and their bit-fields.
10.7
Interrupts
Table 10-5. Interrupt Summary
Interrupt IIC Interrupt Offset -- Vector -- Priority -- Source Description
IIC uses only one interrupt vector.
IBAL, TCF, IAAS When either of IBAL, TCF or IAAS bits is set bits in IBSR may cause an interrupt based on arbitration register lost, transfer complete or address detect conditions
Internally there are three types of interrupts in IIC. The interrupt service routine can determine the interrupt type by reading the status register. IIC Interrupt can be generated on 1. Arbitration lost condition (IBAL bit set) 2. Byte transfer condition (TCF bit set) 3. Address detect condition (IAAS bit set) The IIC interrupt is enabled by the IBIE bit in the IIC control register. It must be cleared by writing 0 to the IBF bit in the interrupt service routine.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 319
Chapter 10 Inter-Integrated Circuit (IIC) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 320 Freescale Semiconductor
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.1 Introduction
The Ethernet media access controller (EMAC) is IEEE 802.3 compliant supporting 10/100 Ethernet operation. The EMAC module supports the medium-independent interface (MII) and the MII management interface (MI). By connecting a physical layer device (PHY) supporting MII, a 10/100 Mbps Ethernet network is implemented.
11.1.1
* * * * * *
Features
* * *
IEEE 802.3 compliant Medium-independent interface (MII) Full-duplex and half-duplex modes Flow control using pause frames MII management function Address recognition -- Frames with broadcast address are always accepted or always rejected -- Exact match for single 48-bit individual (unicast) address -- Hash (64-bit hash) check of group (multicast) addresses -- Promiscuous mode Ethertype filter Loopback mode Two receive and one transmit Ethernet buffer interfaces
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 321
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.1.2
Block Diagram
MII INTERFACE EMAC MII_RXCLK MII_RXDV MII_RXD[3:0] MII_RXER RECEIVER
MCU INTERFACE
RAM INTERFACE SIGNALS
RX BUFFER A INTERFACE RX BUFFER B INTERFACE
MAC FLOW CONTROL RAM INTERFACE SIGNALS
MII_TXCLK MII_TXEN MII_TXD[3:0] MII_TXER MII_CRS MII_COL
TX BUFFER INTERFACE
TRANSMITTER
MII
IP BUS SIGNALS
IP BUS REGISTERS
MII MANAGEMENT
MII_MDC MII_MDIO
Figure 11-1. EMAC Block Diagram
11.2
External Signal Description
The EMAC module supports the medium-independent interface (MII) which requires 18 input/output (I/O) pins. The transmit and receive functions require seven signals each (four data signals, a delimiter, error, and clock). In addition, there are two signals which indicate the status of the media (one indicates the presence of a carrier and the other indicates that a collision has occurred). The MII management function requires the remaining two signals, MII_MDC and MII_MDIO. Each MII signal is described below. These signals are available externally only when the EMAC is enabled in external PHY mode. MII signals are available only in certain MCU modes.
MC9S12NE64 Data Sheet, Rev 1.0 322 Freescale Semiconductor
External Signal Description
11.2.1
MII_TXCLK -- MII Transmit Clock
The PHY provides this input clock, which is used as a timing reference for MII_TXD, MII_TXEN, and MII_TXER. It operates at 25% of the transmit data rate (25 MHz for 100 Mbps or 2.5 MHz for 10 Mbps). The EMAC bus clock frequency must be greater-than or equal-to MII_TXCLK.
11.2.2
MII_TXD[3:0] -- MII Transmit Data
MII_TXD[3:0] is a transmit nibble of data to be transferred from the EMAC to the PHY. The nibble is synchronized to the rising edge of MII_TXCLK. When MII_TXEN is asserted, the PHY accepts MII_TXD[3:0], and at all other times, MII_TXD[3:0] is ignored. MII_TXD[0] is the least significant bit. Table 11-1 summarizes the permissible encoding of MII_TXD[3:0], MII_TXEN, and MII_TXER.
Table 11-1. Permissible Encoding of MII_TXD, MII_TXEN, and MII_TXER
MII_TXEN 0 0 1 1 MII_TXER 0 1 0 1 MII_TXD[3:0] 0000 through 1111 0000 through 1111 0000 through 1111 0000 through 1111 Indication Normal interframe Reserved Normal data transmission Transmit error propagation
11.2.3
MII_TXEN -- MII Transmit Enable
Assertion of this output signal indicates that there are valid nibbles being presented on the MII and the transmission can start. This signal is asserted with the first nibble of the preamble, remains asserted until all nibbles to be transmitted have been presented to the PHY, and is negated following the final nibble of the frame.
11.2.4
MII_TXER -- MII Transmit Coding Error
Assertion of this output signal for one or more clock cycles while MII_TXEN is asserted causes the PHY to transmit one or more illegal symbols. MII_TXER is asserted if the ABORT command is issued during a transmit. This signal transitions synchronously with respect to MII_TXCLK.
11.2.5
MII_RXCLK -- MII Receive Clock
The PHY provides this input clock, which is used as a timing reference for MII_RXD, MII_RXDV, and MII_RXER. It operates at 25% of the receive data rate (25 MHz for 100 Mbps or 2.5 MHz for 10 Mbps). The EMAC bus clock frequency must be greater-than or equal-to MII_RXCLK.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 323
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.2.6
MII_RXD[3:0] -- MII Receive Data
MII_RXD[3:0] is a receive nibble of data to be transferred from the PHY to the EMAC. The nibble is synchronized to the rising edge of MII_RXCLK. When MII_RXDV is asserted, the EMAC accepts the MII_RXD[3:0], and at all other times, MII_RXD[3:0] is ignored. MII_RXD[0] is the least significant bit. Table 11-2 summarizes the permissible encoding of MII_RXD, MII_RXDV, and MII_RXER, as well as the specific indication provided by each code. A false carrier indication is ignored by the EMAC.
Table 11-2. Permissible Encoding of MII_RXD, MII_RXDV, and MII_RXER
MII_RXDV 0 0 0 0 0 1 1 MII_RXER 0 1 1 1 1 0 1 MII_RXD[3:0] 0000 through 1111 0000 0001 through 1101 1110 1111 0000 through 1111 0000 through 1111 Indication Normal interframe Normal interframe Reserved False carrier Reserved Normal data reception Data reception with errors
11.2.7
MII_RXDV -- MII Receive Data Valid
When this input signal is asserted, the PHY is indicating that a valid nibble is present on the MII. This signal remains asserted from the first recovered nibble of the frame through the last nibble. Assertion of MII_RXDV must start no later than the start frame delimiter (SFD).
11.2.8
MII_RXER -- MII Receive Error
When this input signal and MII_RXDV are asserted, the PHY is indicating that a media error has been detected during the transmission of the current frame. At all other times, MII_RXER is ignored. This signal transitions synchronously with MII_RXCLK.
11.2.9
MII_CRS -- MII Carrier Sense
This input signal is asserted when the transmit or receive medium is in a non-idle state. When de-asserted, this signal indicates that the medium is in an idle state and a transmission can start. In the event of a collision, MII_CRS remains asserted through the duration of the collision. In full-duplex mode, this signal is undefined. This signal is not required to transition synchronously with MII_TXCLK or MII_RXCLK.
11.2.10 MII_COL -- MII Collision
This input signal is asserted upon detection of a collision, and remains asserted through the duration of the collision. In full-duplex mode, this signal is undefined. This signal is not required to transition synchronously with MII_TXCLK or MII_RXCLK.
MC9S12NE64 Data Sheet, Rev 1.0 324 Freescale Semiconductor
Memory Map and Register Descriptions
11.2.11 MII_MDC -- MII Management Data Clock
This output signal provides a timing reference to the PHY for data transfers on the MII_MDIO signal. MII_MDC is aperiodic and has no maximum high or low times. The maximum clock frequency is 2.5 MHz, regardless of the nominal period of MII_TXCLK and MII_RXCLK.
11.2.12 MII_MDIO -- MII Management Data Input/Output
This bidirectional signal transfers control/status information between the PHY and EMAC. Control information is driven by the EMAC synchronously with respect to MII_MDC and is sampled synchronously by the PHY. Status information is driven by the PHY synchronously with respect to MII_MDC and is sampled synchronously by the EMAC.
11.3
Memory Map and Register Descriptions
This section provides a detailed description of all registers accessible in the EMAC.
11.3.1
Module Memory Map
Table 11-3 gives an overview of all registers in the EMAC memory map. The EMAC occupies 48 bytes in the memory space. The register address results from the addition of base address and address offset. The base address is determined at the MCU level and is given in the device user guide. The address offset is defined at the module level and is provided in Table 11-3.
Table 11-3. EMAC Module Memory Map
Address Offset $__00 $__01 $__02 $__03 $__04 $__05 $__06 $__07 $__08 $__09 $__0A $__0B $__0C $__0D $__0E $__0F $__10 $__11 Software Reset (SWRST) Reserved MII Management PHY Address (MPADR) MII Management Register Address (MRADR) R/W R/W R/W Interrupt Mask (IMASK) R/W Interrupt Event (IEVENT) R/W PAUSE Timer Value and Counter (PTIME) R/W Receive Control and Status (RXCTS) Transmit Control and Status (TXCTS) Ethertype Control (ETCTL) Programmable Ethertype (ETYPE) R/W R/W R/W R/W Use Network Control (NETCTL) Reserved Access R/W
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 325
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
Table 11-3. EMAC Module Memory Map (Continued)
Address Offset $__12 $__13 $__14 $__15 $__16 $__17 $__18 $__19 $__1A $__1B $__1C $__1D $__1E $__1F $__20 $__21 $__22 $__23 $__24 $__25 $__26 $__27 $__28 $__29 $__2A $__2B $__2C $__2D $__2E $__2F Miscellaneous (EMISC) R/W MAC Address (MACAD) R/W Multicast Hash Table (MCHASH) R/W Transmit End-of-Frame Pointer (TXEFP) R/W Receive B End-of-Frame Pointer (RXBEFP) R Receive A End-of-Frame Pointer (RXAEFP) R MII Management Command and Status (MCMST) Reserved Ethernet Buffer Configuration (BUFCFG) R/W R/W MII Management Read Data (MRDATA) R Use MII Management Write Data (MWDATA) Access R/W
11.3.2
Register Descriptions
This section describes in detail all the registers and register bits in the EMAC module. Each description includes a standard register diagram with an associated figure number. Details of register bit and field function follow the register diagrams, in bit order.
MC9S12NE64 Data Sheet, Rev 1.0 326 Freescale Semiconductor
Memory Map and Register Descriptions
11.3.2.1
Network Control (NETCTL)
7 6 0 0 5 0 0 4 ESWAI 0 3 EXTPHY 0 2 MLB 0 1 FDX 0 0 0 0
Module Base + $0
R W RESET: EMACE 0
= Unimplemented or Reserved
Figure 11-2. Network Control (NETCTL)
Read: Anytime. Write: See each bit description. NOTE When configuring for loopback mode or for an external PHY, the user must set the MLB or EXTPHY bit before enabling the EMAC by setting EMACE. That is, when setting MLB or EXTPHY, the initial write to this register should not also set the EMACE bit; separate writes must be performed. NOTE When configuring MLB and EXTPHY bits, any internal or external PHY connected should be disabled to protect against possible glitches generated on MII signals as port configuration logic settles. EMACE -- EMAC Enable This bit can be written anytime, but the user must not modify this bit while TXACT is set. While this bit is set, the EMAC is enabled, and reception and transmission are possible. When this bit is cleared, the EMAC receiver and transmitter are immediately disabled, any receive in progress is dropped, and any PAUSE timeout is cleared. EMACE has no effect on the MII management functions. 1 = Enables EMAC. 0 = Disables EMAC. ESWAI -- EMAC Disabled during Wait Mode This bit can be written anytime. When this bit is set, the EMAC receiver, transmitter, and MII management logic are disabled during wait mode, any receive in progress is dropped, and any PAUSE timeout is cleared. The user must not enter wait mode with the ESWAI bit set if TXACT or BUSY are asserted. While the ESWAI bit is clear, the EMAC continues to operate during wait mode. 1 = EMAC is disabled during wait mode. 0 = EMAC continues to operate normally during wait mode. EXTPHY -- External PHY This bit can be written once after a hardware or software reset, but the user must not modify this bit while EMACE or BUSY is set. While this bit is set, the EMAC is configured for an external PHY, all the EMAC MII I/O pins are available externally, and the MII to the internal PHY is not available.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 327
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
While this bit is clear, the EMAC is configured for the internal PHY, all the EMAC MII I/O pins are not available externally, and the MII interface to the internal PHY is available. 1 = External PHY. 0 = Internal PHY. NOTE If MLB is set, EXTPHY is ignored. If EXTPHY is set, it is recommended that any internal PHY be disabled. MLB -- MAC Loopback This bit can be written once after a hardware or software reset, but the user must not change this bit while EMACE or BUSY is set. While this bit is set, the EMAC is in the loopback mode which routes all transmit traffic to the receiver and disables the MII. 1 = Loopback mode. 0 = Normal operation. NOTE While configured for loopback mode, receiver frame recognition algorithms remain active and transmitted frames failing to meet acceptance criteria will be dropped by the receiver. FDX -- Full Duplex This bit can be written anytime, but the user must not modify this bit while EMACE is set. While this bit is set, the EMAC is set for full-duplex mode, which bypasses the carrier sense multiple access with collision detect (CSMA/CD) protocol. Frame reception occurs independently of frame transmission. While this bit is clear, the EMAC is set for half-duplex mode. Frame reception is disabled during frame transmission. The mode used is the traditional mode of operation that relies on the CSMA/CD protocol to manage collisions and network access. 1 = Full-duplex mode. 0 = Half-duplex mode.
11.3.2.2
Receive Control and Status (RXCTS)
7 RXACT 0 6 0 0 5 0 0 4 RFCE 0 3 0 0 2 PROM 0 1 CONMC 0 0 BCREJ 0
Module Base + $3
R W RESET:
= Unimplemented or Reserved
Figure 11-3. Receive Control and Status (RXCTS)
Read: Anytime. Write: See each bit description.
MC9S12NE64 Data Sheet, Rev 1.0 328 Freescale Semiconductor
Memory Map and Register Descriptions
RXACT -- Receiver Active Status This is a read-only status bit that indicates activity in the EMAC receiver. RXACT is asserted when MII_RXDV is asserted and clears when the EMAC has finished processing the receive frame after MII_RXDV is negated. 1 = Receiver is active. 0 = Receiver is idle. RFCE -- Reception Flow Control Enable This bit can be written anytime, but the user must not change this bit while EMACE is set. While this bit is set, the receiver detects PAUSE frames (full-duplex mode only). Upon PAUSE frame detection, the transmitter stops transmitting data frames for a given duration (PAUSE time in received frame). The value of the PAUSE timer counter is updated when a valid PAUSE control frame is received. While this bit is clear, the receiver ignores any PAUSE frames. 1 = Upon PAUSE frame detection, transmitter stops for a given duration. 0 = Received PAUSE control frames are ignored. PROM -- Promiscuous Mode This bit can be written anytime, but the user must not change this bit while EMACE is set. Changing values while the receiver is active may affect the outcome of the receive filters. While set, the address recognition filter is ignored and all frames are received regardless of destination address. While clear, the destination address is checked for incoming frames. 1 = All frames are received regardless of address. 0 = Destination address is checked for incoming frames. CONMC -- Conditional Multicast This bit can be written anytime, but the user must not change this bit while EMACE is set. Changing values while the receiver is active may affect the outcome of the receive filters. While set, the multicast hash table is used to check all multicast addresses received unless the PROM bit is set. While clear, all multicast address frames are accepted. 1 = Multicast hash table is used for checking multicast addresses. 0 = Multicast address frames are accepted. BCREJ -- Broadcast Reject This bit can be written anytime, but the user must not change this bit while EMACE is set. While set, all broadcast addresses are rejected unless the PROM bit is set. While clear, all broadcast address frames are accepted. 1 = All broadcast address frames are rejected. 0 = All broadcast address frames are accepted.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 329
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.3.2.3
Transmit Control and Status (TXCTS)
7 TXACT 0 6 0 0 5 CSLF 0 4 PTRC 0 3 SSB 0 2 0 0 1 0 TCMD 0 0 0 0
Module Base + $4
R W RESET:
= Unimplemented or Reserved
Figure 11-4. Transmit Control and Status (TXCTS)
Read: Anytime. Write: See each bit description. TXACT -- Transmitter Active Status This is a read-only status bit that indicates activity in the EMAC transmitter. TXACT is set after a valid TCMD write and is cleared when the EMAC has finished sending the transmit frame. 1 = Transmitter is active. 0 = Transmitter is idle. CSLF -- Carrier Sense Lost Flag This status bit is set when the carrier sense (half-duplex mode) drops out or is never sensed during transmission (excluding the preamble) without collision. The frame is transmitted normally and no retries are performed as a result of this flag. This flag bit is cleared by writing a 1 to it. A write of 0 has no effect. 1 = Carrier sense lost has been detected without collision during transmission. 0 = No carrier sense lost has been detected. PTRC -- PAUSE Timer Register Control This bit can be written anytime. While set, writes to the PTIME register update the PAUSE duration used in the transmission of a PAUSE control frame. Reads of the PTIME register return the PAUSE duration used in the transmission of a hardware-generated PAUSE control frame. While clear, PTIME register read accesses return the current number of slot times (512 bit times) remaining in a PAUSE period after the receiver accepts a PAUSE frame. Writes to PTIME are ignored. 1 = PTIME controls the transmit PAUSE duration parameter for PAUSE control frames. 0 = PTIME read accesses return the PAUSE timer counter value. SSB -- Single Slot Backoff This bit can be written anytime, but the user must not change this bit while TXACT is set. Setting this bit forces the transmitter to backoff for only a single Ethernet slot time instead of following the random backoff algorithm. For more information about the backoff algorithm, refer to Section 11.4.3.3.3, "Backoff Generator." 1 = Single slot backoff. 0 = Random backoff.
MC9S12NE64 Data Sheet, Rev 1.0 330 Freescale Semiconductor
Memory Map and Register Descriptions
TCMD -- Transmit Command This is a 2-bit write-only field that can launch three different transmission commands: START, PAUSE, or ABORT. The START command starts transmission of the frame in the transmit buffer. The PAUSE command starts transmission of a hardware-generated PAUSE frame. The ABORT command terminates any current transmission after a bad CRC is appended to the frame currently being transmitted and MII_TXER is asserted. The ABORT command does not affect any received PAUSE time out. See Table 11-4, Section 11.4.3, "Transmitter," and section Section 11.4.5.2, "Hardware Generated PAUSE Control Frame Transmission," for more detail. NOTE The START and PAUSE commands are ignored if there is a transmission in progress (TXACT is set). After the reception of a PAUSE frame, a launched START command is suspended until the pause time has expired. During the pause time, the EMAC may transmit a control PAUSE frame if no START transmission is pending.
Table 11-4. Transmit Commands
TCMD 0 1 2 3 Command Reserved START PAUSE ABORT Description Ignore Transmit buffer frame Transmit PAUSE frame (full-duplex mode only) Abort transmission
11.3.2.4
Ethertype Control (ETCTL)
7 6 0 0 5 0 0 4 FEMW 0 3 FIPV6 0 2 FARP 0 1 FIPV4 0 0 FIEEE 0
Module Base + $5
R W RESET: FPET 0
= Unimplemented or Reserved
Figure 11-5. Ethertype Control (ETCTL)
Read: Anytime. Write: Anytime, but the user must not change this field while EMACE is set. Changing values while the receiver is active will affect the outcome of the Ethertype filter. If every bit in ETCTL is clear, there is no mask for Ethertype messages so all are received. Conversely, if any bit in ETCTL is set, Ethertype filtering will occur and will be defined by the configuration bits. FPET -- Programmable Ethertype If this bit is set, all messages with the Ethertype in ETYPE are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept Ethertype messages selected in ETYPE. 0 = Ignore Ethertype messages selected in ETYPE.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 331
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
FEMW -- Emware Ethertype If this bit is set, all messages with 0x8876 Ethertype are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept Emware messages. 0 = Ignore Emware messages. FIPV6 -- Internet Protocol Version 6 (IPv6) Ethertype If this bit is set, all messages with 0x86DD Ethertype are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept IPv6 messages. 0 = Ignore IPv6 messages. FARP -- Address Resolution Protocol (ARP) Ethertype If this bit is set, all messages with 0x0806 Ethertype are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept ARP messages. 0 = Ignore ARP messages. FIPV4 -- Internet Protocol Version 4 (IPv4) Ethertype If this bit is set, all messages with 0x0800 Ethertype are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept IPv4 messages. 0 = Ignore IPv4 messages. FIEEE -- IEEE802.3 Length Field Ethertype If this bit is set, all messages with 0x0000 to 0x05DC Ethertype are accepted. If this bit is clear, messages of this type are ignored. 1 = Accept length field messages. 0 = Ignore length field messages.
11.3.2.5
Programmable Ethertype (ETYPE)
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Module Base + $6
R W RESET: ETYPE 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 11-6. Programmable Ethertype (ETYPE)
Read: Anytime. Write: Anytime, but the user must not change this field while EMACE is set. Changing values while the receiver is active may affect the outcome of the Ethertype filter. ETYPE -- Programmable Ethertype This 16-bit field is used to program an Ethertype value to be used for the Ethertype filter.
MC9S12NE64 Data Sheet, Rev 1.0 332 Freescale Semiconductor
Memory Map and Register Descriptions
11.3.2.6
PAUSE Timer Value and Counter (PTIME)
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Module Base + $8
R W RESET: PTIME 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 11-7. PAUSE Timer Value and Counter (PTIME)
Read: Anytime. Write: Anytime except while PTRC is clear, but the user must not change this field while TXACT is set. PTIME -- PAUSE Timer Value and Counter While the PTRC bit is set, the PTIME register controls the PAUSE duration parameter in units of slot times (512 bit times) used in a transmission of a PAUSE control frame. While PTRC bit is clear, the PTIME register indicates the current number of slot times (512 bit times) remaining in a PAUSE period after the receiver accepts a PAUSE frame. The value of the PAUSE timer counter is updated when a valid PAUSE control frame is accepted, regardless of PTRC.
11.3.2.7
Interrupt Event (IEVENT)
When an event occurs that sets a bit in the interrupt event register, an interrupt is generated if the corresponding bit in the interrupt mask registers is also set. Each bit in the interrupt event register is cleared by writing a 1 to that bit position. A write of 0 has no effect.
Module Base + $A
15 R W RESET:
RFCIF
14
0
13
BREIF
12
RXEIF
11
10
9
8
7
6
0
5
LCIF
4
ECIF
3
0
2
0
1
TXCIF
0
0
RXAOIF RXBOIF RXACIF RXBCIF MMCIF
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-8. Interrupt Event (IEVENT)
Read: Anytime. Write: Anytime (0s have no effect). RFCIF -- Receive Flow Control Interrupt Flag This flag is set when a full-duplex flow control PAUSE frame has been received. If not masked (RFCIE is set), a receive flow control interrupt is pending while this flag is set. 1 = Transmitter stopped due to reception of a PAUSE frame. 0 = Normal transmit operation. BREIF -- Babbling Receive Error Interrupt Flag This flag is set when the receive frame length exceeds the value of MAXFL. If not masked (BREIE is set), a babbling receive error interrupt is pending while this flag is set. 1 = A babbling receive error has been detected. 0 = No babbling receive errors have been detected.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 333
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
RXEIF -- Receive Error Interrupt Flag This flag is set when MII_RXER signal is asserted during reception, when there is a receive frame length mismatch, an alignment error, or when a CRC error has occurred. If not masked (RXEIE is set), a receive error interrupt is pending while this flag is set. 1 = Receive errors have been detected. 0 = No receive errors have been detected. RXAOIF -- Receive Buffer A Overrun Interrupt Flag This flag is set when an overrun occurs in receive buffer A. If not masked (RXAOIE is set), a receive buffer A overrun interrupt is pending while this flag is set. 1 = Receive buffer A overrun has occurred. 0 = No receive buffer A overrun has been detected. RXBOIF -- Receive Buffer B Overrun Interrupt Flag This flag is set when an overrun occurs in receive buffer B. If not masked (RXBOIE is set), a receive buffer B overrun interrupt is pending while this flag is set. 1 = Receive buffer B overrun has occurred. 0 = No receive buffer B overrun has been detected. RXACIF -- Valid Frame Reception to Receive Buffer A Complete Interrupt Flag This flag is set when a complete valid frame has been received in receive buffer A. If not masked (RXACIE is set), a valid frame reception to receive buffer A complete interrupt is pending while this flag is set. 1 = Frame to receive buffer A has been validated. 0 = Frame to receive buffer A has not been validated. RXBCIF -- Valid Frame Reception to Receive Buffer B Complete Interrupt Flag This flag is set when a complete valid frame has been received in receive buffer B. If not masked (RXBCIE is set), a valid frame reception to receive buffer B complete interrupt is pending while this flag is set. 1 = Frame to receive buffer B has been validated. 0 = Frame to receive buffer B has not been validated. MMCIF -- MII Management Transfer Complete Interrupt Flag This flag is set when the MII has completed a requested MII management transfer. If not masked (MMCIE is set), an MII management transfer complete interrupt is pending while this flag is set. 1 = MII management transfer completion. 0 = MII management transfer in progress or none requested. LCIF -- Late Collision Interrupt Flag This flag is set if a collision has occurred after the collision window of 512 bit times while in half-duplex mode. If not masked (LCIE is set), a late collision interrupt is pending while this flag is set. 1 = Late collision during transmission. 0 = No collisions after collision window.
MC9S12NE64 Data Sheet, Rev 1.0 334 Freescale Semiconductor
Memory Map and Register Descriptions
ECIF -- Excessive Collision Interrupt Flag This flag is set if the total number of collisions has exceeded the maximum retransmission count of 15 while in half-duplex mode. The frame is discarded and another START command must be invoked to commence a new transmission. If not masked (ECIE is set), an excessive collision interrupt is pending while this flag is set. 1 = Number of collisions exceeds 15. 0 = Number of collisions is 15 or less. TXCIF -- Frame Transmission Complete Interrupt Flag This flag is set when a transmit frame has been completed. If not masked (TXCIE is set), a frame transmission complete interrupt is pending while this flag is set. 1 = Frame transmission has been completed. 0 = Frame transmission has not been confirmed.
11.3.2.8
Interrupt Mask (IMASK)
The interrupt mask register provides control over which possible interrupt events are allowed to generate an interrupt. If the corresponding bits in both IEVENT and IMASK registers are set, an interrupt is generated and remains active until a 1 is written to the IEVENT bit or a 0 is written to the IMASK bit.
Module Base + $C
15 R W RESET:
RFCIE
14
0
13
BREIE
12
11
10
9
8
7
6
0
5
LCIE
4
ECIE
3
0
2
0
1
TXCIE
0
0
RXEIE RXAOIE RXBOIE RXACIE RXBCIE MMCIE
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-9. Interrupt Mask (IMASK)
Read: Anytime. Write: Anytime. RFCIE -- Receive Flow Control Interrupt Enable 1 = A receive flow control event causes a receive flow control interrupt request. 0 = No interrupt request is generated by this event. BREIE -- Babbling Receive Error Interrupt Enable 1 = A babbling receive error event causes a babbling receive error interrupt request. 0 = No interrupt request is generated by this event. RXEIE -- Receive Error Interrupt Enable 1 = A receive error event causes a receive error interrupt request. 0 = No interrupt request is generated by this event. RXAOIE -- Receive Buffer A Overrun Interrupt Enable 1 = A receive buffer A overrun event causes a receive buffer A overrun interrupt request. 0 = No interrupt request is generated by this event. RXBOIE -- Receive Buffer B Overrun Interrupt Enable 1 = A receive buffer B overrun event causes a receive buffer B overrun interrupt request.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 335
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
0 = No interrupt request is generated by this event. RXACIE -- Valid Frame Reception to Receive Buffer A Complete Interrupt Enable 1 = A valid frame reception to receive buffer A complete event causes a valid frame reception to receive buffer A complete interrupt request. 0 = No interrupt request is generated by this event. RXBCIE -- Valid Frame Reception to Receive Buffer B Complete Interrupt Enable 1 = A valid frame reception to receive buffer B complete event causes a valid frame reception to receive buffer B complete interrupt request. 0 = No interrupt request is generated by this event. MMCIE -- MII Management Transfer Complete Interrupt Enable 1 = An MII management transfer complete event causes an MII management transfer complete interrupt request. 0 = No interrupt request is generated by this event. LCIE -- Late Collision Interrupt Enable 1 = A late collision event causes a late collision interrupt request. 0 = No interrupt request is generated by this event. ECIE -- Excessive Collision Interrupt Enable 1 = An excessive collision event causes an excessive collision interrupt request. 0 = No interrupt request is generated by this event. TXCIE -- Frame Transmission Complete Interrupt Enable 1 = A frame transmission complete event causes a frame transmission complete interrupt request. 0 = No interrupt request is generated by this event.
11.3.2.9
Software Reset (SWRST)
6 0 0 5 0 0 4 0 0 3 0 0 2 0 0 1 0 0 0 0 0
Module Base + $E
7 R 0 W MACRST RESET: 0
= Unimplemented or Reserved
Figure 11-10. Software Reset (SWRST)
Read: Anytime. Write: Anytime, but the user must not change this bit while BUSY is set. MACRST -- MAC Software Reset Writing a 0 to this bit has no effect. This bit always reads 0. When this bit is set, the equivalent of a hardware reset is performed but it is local to the EMAC. The EMAC logic is initialized and all EMAC registers take their reset values. Any transmission/reception currently in progress is abruptly aborted. 1 = EMAC is reset. 0 = Normal operation.
MC9S12NE64 Data Sheet, Rev 1.0 336 Freescale Semiconductor
Memory Map and Register Descriptions
11.3.2.10 MII Management PHY Address (MPADR)
Module Base + $10
R W RESET: 7 0 0 6 0 0 5 0 0 4 3 2 PADDR 0 0 0 0 0 1 0
= Unimplemented or Reserved
Figure 11-11. MII Management PHY Address (MPADR)
Read: Anytime. Write: Anytime, but the user must not change this field while BUSY is set. PADDR -- MII Management PHY Address This field specifies 1 of up to 32 attached PHY devices. The default address for the internal PHY after reset is 0, but can be changed by writing the PHY address register.
11.3.2.11 MII Management Register Address (MRADR)
Module Base + $11
R W RESET: 7 0 0 6 0 0 5 0 0 4 3 2 RADDR 0 0 0 0 0 1 0
= Unimplemented or Reserved
Figure 11-12. MII Management Register Address (MRADR)
Read: Anytime. Write: Anytime, but the user must not change this field while BUSY is set. RADDR -- MII Management Register Address This field selects 1 of the 32 MII registers of a PHY device to be accessed. The default address for the internal PHY after reset is 0, but can be changed by writing the PHY address register.
11.3.2.12 MII Management Write Data (MWDATA)
Module Base + $12
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 WDATA 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 11-13. MII Management Write Data (MWDATA)
Read: Anytime. Write: Anytime, but the user must not change this field while BUSY is set.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 337
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
WDATA -- MII Management Write Data This data field contains the write data to be used when sourcing a write MII management frame.
11.3.2.13 MII Management Read Data (MRDATA)
Module Base + $14
15 R W RESET: 14 13 12 11 10 9 8 7 RDATA 0 0 6 5 4 3 2 1 0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-14. MII Management Read Data (MRDATA)
Read: Anytime. Write: Never. RDATA -- MII Management Read Data This data field contains the read data resulting from a read MII management frame. RDATA is valid only when MMCIF is set after a valid read frame operation.
11.3.2.14 MII Management Command and Status (MCMST)
Module Base + $16
R W RESET: 7 0 OP 0 0 0 6 0 5 BUSY 4 NOPRE 0 0 0 3 2 MDCSEL 0 0 1 0
= Unimplemented or Reserved
Figure 11-15. MII Management Command and Status (MCMST)
Read: Anytime. Write: See each bit description. OP -- Operation Code This field must be programmed to 10 to generate a valid read frame operation. See Section 11.4.6.2, "Read Operation." This field must be programmed to 01 to generate a valid write frame operation. See Section 11.4.6.3, "Write Operation." A programmed value of 00, 11, or any value programmed while BUSY is set is ignored. While programming MCMST, the OP write is ignored if MDCSEL is a 0 value. This field always reads 00.
MC9S12NE64 Data Sheet, Rev 1.0 338 Freescale Semiconductor
Memory Map and Register Descriptions
Table 11-5. MII Management Frame Operation
BUSY 1 0 0 0 0 OP xx 00 01 10 11 Operation Ignore Ignore Write Read Ignore
BUSY -- Operation in Progress This read-only status bit indicates MII management activity. BUSY is asserted after a valid OP write and is cleared when the MMCIF flag is set. 1 = MII is busy (operation in progress). 0 = MII is idle (ready for operation). NOPRE -- No Preamble Any value written while BUSY is set is ignored. The IEEE 802.3 standard allows the preamble to be dropped if the attached PHY does not require it. While this bit is set, a preamble is not prepended to the MII management frame. 1 = No preamble is sent. 0 = 32-bit preamble is sent. MDCSEL -- Management Clock Rate Select Any value programmed while BUSY bit is set is ignored. This field controls the frequency of the MII management data clock (MDC) relative to the IP bus clock. MDC toggles only during a valid MII management transaction. While MDC is not active, it remains low. Any nonzero value results in an MDC frequency given by the following formula: MDC frequency = Bus clock frequency / (2 * MDCSEL) The MDCSEL field must be programmed with a value to provide an MDC frequency of less-than or equal-to 2.5 MHz to be compliant with the IEEE MII specification. The MDCSEL must be set to a nonzero value in order to source a read or write MII management frame.
Table 11-6. Programming Examples for MDCSEL
IP Bus Clock Frequency 20 MHz 25 MHz 33 MHz 40 MHz 50 MHz MDCSEL 0x4 0x5 0x7 0x8 0xA MDC Frequency 2.5 MHz 2.5 MHz 2.36 MHz 2.5 MHz 2.5 MHz
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 339
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.3.2.15 Ethernet Buffer Configuration (BUFCFG)
Module Base + $18
R W RESET: 15 0 0 14 13 BUFMAP 1 0 0 12 11 0 0 10 9 8 7 6 5 MAXFL 1 0 1 1 1 1 0 1 1 1 0 4 3 2 1 0
= Unimplemented or Reserved
Figure 11-16. Ethernet Buffer Configuration (BUFCFG)
Read: Anytime. Write: See each field description. BUFMAP -- Buffer Size and Starting Address Mapping This 3-bit field can be written once after a hardware or software reset and only while EMACE is clear. Any write to this field while EMACE is set is ignored. This field specifies the buffer size and the base address within system RAM for the receive and transmit Ethernet buffers. Table 11-7 shows the mapping configuration for the system RAM. The starting address of the system RAM depends on its position within the on-chip system memory map.
Table 11-7. Buffer Mapping Configuration on System RAM
System RAM Starting Address 0x0000 0x0000 0x0000 0x0000 0x0000 RX Buffer A Size (Bytes) 128 256 512 1K 1.5K RX Buffer A Address Space 0x0000 - 0x007F 0x0000 - 0x00FF 0x0000 - 0x01FF 0x0000 - 0x03FF 0x0000 - 0x05FF RX Buffer B size (Bytes) 128 256 512 1K 1.5K RX Buffer B Address Space 0x0080 - 0x00FF 0x0100 - 0x01FF 0x0200 - 0x03FF 0x0400 - 0x07FF 0x0600 - 0x0BFF TX Buffer Start Address 0x0100 0x0200 0x0400 0x0800 0x0C00
BUFMAP
0 1 2 3 4 5-7
Reserved
MAXFL -- Receive Maximum Frame Length This 11-bit field can be written anytime, but the user must not change this field while EMACE is set. The 11-bit field specifies the maximum receive frame length in bytes. Receive frames exceeding MAXFL causes the BREIF event bit to set and an interrupt occurs if the BREIE is also set. Written values equal-to or less-than 0x040 (64 decimal) use the minimum of 0x040. Written values equal-to or greater-than 0x5EE (1518 decimal) use the maximum of 0x5EE.
MC9S12NE64 Data Sheet, Rev 1.0 340 Freescale Semiconductor
Memory Map and Register Descriptions
11.3.2.16 Receive A End-of-Frame Pointer (RXAEFP)
Module Base + $1A
R W RESET: 15 0 0 14 0 0 13 0 0 12 0 0 11 0 0 10 9 8 7 6 5 4 RXAEFP 0 0 3 2 1 0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-17. Receive A End-of-Frame Pointer (RXAEFP)
Read: Anytime. Write: Never. The receive A end-of-frame pointer (RXAEFP) 11-bit field specifies the address offset of the last byte was that written to the receive buffer A. The base address of receive buffer A is determined by BUFMAP. RXAEFP is valid only while RXACIF is set.
11.3.2.17 Receive B End-of-Frame Pointer (RXBEFP)
Module Base + $1C
R W RESET: 15 0 0 14 0 0 13 0 0 12 0 0 11 0 0 10 9 8 7 6 5 4 RXBEFP 0 0 3 2 1 0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-18. Receive B End-of-Frame Pointer (RXBEFP)
Read: Anytime. Write: Never. The receive B end-of-frame pointer (RXBEFP) 11-bit field specifies the address offset of the last byte that was written to the receive buffer B. The base address of receive buffer B is determined by BUFMAP. RXBEFP is valid only while RXBCIF is set.
11.3.2.18 Transmit End-of-Frame Pointer (TXEFP)
Module Base + $1E
R W RESET: 15 0 0 14 0 0 13 0 0 12 0 0 11 0 0 10 9 8 7 6 5 TXEFP 0 0 0 0 0 0 0 0 0 0 0 4 3 2 1 0
= Unimplemented or Reserved
Figure 11-19. Transmit End-of-Frame Pointer (TXEFP)
Read: Anytime.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 341
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
Write: Anytime, but the user must not change this field while TXACT is set. The transmit end-of-frame pointer (TXEFP) 11-bit field specifies the address offset of the last frame byte that was stored in the transmit buffer. The base address of the transmit buffer is determined by BUFMAP.
11.3.2.19 Multicast Hash Table (MCHASH)
The multicast hash table (MCHASH) contains the 64-bit hash table used in the address recognition process for receive frames with a multicast address. Section 11.4.2.1.4, "Multicast Filter," explains how to configure this register. Read: Anytime. Write: Anytime, but the user must not change this field while EMACE is set.
Module Base + $20
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MCHASH[63:48] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Module Base + $22
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MCHASH[47:32] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Module Base + $24
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MCHASH[31:16] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Module Base + $26
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MCHASH[15:0] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 11-20. Multicast Hash Table (MCHASH)
MCHASH -- Multicast Hash Table Index
11.3.2.20 MAC Unicast Address (MACAD)
The MAC unicast address (MACAD) registers contain the 48-bit address used for identifying an exact match in the address recognition process by comparing the 48-bit address with the destination address field of unicast receive frames. In addition, the 48-bit address is used in the 6-byte source address field while transmitting PAUSE frames. These registers are write-once after reset. The Ethernet MAC address must be a unique number for each device. Ethernet MAC addresses are assigned by the IEEE Standards Association (IEEE-SA). This address
MC9S12NE64 Data Sheet, Rev 1.0 342 Freescale Semiconductor
Memory Map and Register Descriptions
is normally stored in nonvolatile memory and copied to the MAC address register during initialization by user software. Read: Anytime. Write: Once after a hardware or software reset, but the user must not change this field while EMACE is set.
Module Base + $28
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MACAD[47:32] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Module Base + $2A
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MACAD[31:16] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Module Base + $2C
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 MACAD[15:0] 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Figure 11-21. MAC Address (MACAD)
MACAD -- MAC Unicast Address
11.3.2.21 Miscellaneous (EMISC)
The miscellaneous (EMISC) register provides visibility of internal counters used by the EMAC. Read: Anytime. Write: Anytime for the INDEX field and never for the MISC field.
Module Base + $2E
15 R W RESET: 14 INDEX 0 0 0 13 12 0 0 11 0 0 10 9 8 7 6 5 MISC 0 4 3 2 1 0
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 11-22. Miscellaneous (EMISC)
INDEX -- Miscellaneous Index This 3-bit field selects different counters to be read in the MISC field.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 343
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
Table 11-8. Miscellaneous Fields
Index 0-2 3 4 5 6 7 Field None TXBYT BSLOT RETX RANDOM Reserved Unit Read 0s Bytes Slot time Retransmissions N/A Reserved
TXBYT -- Transmit Frame Byte Counter This11-bit read-only field indicates the number of bytes of the current frame that have been read from the transmit buffer by the EMAC transmitter. This register does not include transmitted pad data that is added to frames if less than the minimum amount of data is transmitted nor the FCS data that is appended to the end of transmit frames. While sending pause frames with the PAUSE command, this register is ignored. BSLOT -- Backoff Slot Time Counter This 10-bit read-only field indicates the number of slot times (512 bit times) in progress during the backoff delay. This counter clears at the end of backoff delay, which is set by the random algorithm. The MISC[10] bit reads 0. RETX -- Retransmission Counter This 4-bit read-only field indicates the current retransmission count if retransmission takes place due to collision. The MISC[10:4] bits read 0. RANDOM -- Backoff Random Number This10-bit read-only random number is generated for use by the backoff logic. The value returned when reading this field is random if the transmitter is enabled. The MISC[10] bit reads 0.
11.4
Functional Description
The EMAC provides a 10/100 Mbps Ethernet media access control (MAC) function and is designed to connect to a PHY device supporting MII. The EMAC is an 802.3 compliant Ethernet controller specifically optimized for 8-/16-bit embedded processors. The main components of the EMAC are the receiver, transmitter, MAC flow control, MII management, and receive and transmit Ethernet buffer interfaces.
11.4.1
Ethernet Frame
In an Ethernet network, information is received or transmitted in the form of a frame. The frame format used for Ethernet consists of preamble (PA), start frame delimiter (SFD), destination address (DA), source address (SA), type/length field, data field, and frame check sequence (FCS). See Table 11-9.
Table 11-9. Ethernet Frame Structure
Preamble 7 bytes Start Frame Delimiter 1 bytes Destination Address 6 bytes Source Address 6 bytes Type/ Length 2 bytes Data 46 to 1500 bytes Frame Check Sequence 4 bytes
MC9S12NE64 Data Sheet, Rev 1.0 344 Freescale Semiconductor
Functional Description
The frame length is defined to be 64 bytes at minimum and 1518 bytes at maximum, excluding the preamble and SFD. Transmission and reception of each byte of data is performed one nibble at a time across the MII interface with the order of nibble as shown in Figure 11-23
First Nibble LSB First Bit D0 D1 D2 D3 D4 D5 D6 Second Nibble MSB D7
LSB D0 D1 D2 D3 MSB MII Nibble
Figure 11-23. MII Nibble/Byte-to-Byte/Nibble Mapping
11.4.1.1
Preamble and SFD
The preamble is a 56-bit field that consists of a fixed pattern of alternating 1s and 0s. 1010 1010 1010 1010 1010 1010 1010 1010 1010 1010 1010 1010 1010 1010 The left-most 1 value represents the byte LSB and the right-most 0 value represents the byte MSB. The SFD field is the sequence 10101011 and immediately follows the preamble pattern. The preamble and SFD are used to allow the Ethernet interfaces on the network to synchronize themselves with the incoming data stream before the data fields arrive. The EMAC does not require any preamble before the SFD byte. If a preamble is detected, the preamble must be a valid preamble pattern until the SFD or else the frame is dropped.
11.4.1.2
Address Fields
Each frame contains two address fields: the destination address field and the source address field, in that order. The destination address field specifies the network node(s) for which the frame is intended. The source address field specifies the network node that sent the frame. A 48-bit address is written as 12 hexadecimal digits with the digits paired in groups of two, representing a byte of information. The byte order of transmission on the network is from the most- to least-significant byte. The transmission order within the byte, however, is starting from the least-significant bit (LSB) of the byte through the most-significant bit (MSB). For example, an Ethernet address that is written as the
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 345
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
hexadecimal string F0-4E-77-8A-35-1D is equivalent to the following sequence of bits, sent over the network from left to right: 0000 1111 0111 0010 1110 1110 0101 0001 1010 1100 1011 1000 If the LSB of the most-significant byte of the destination address field is a 0, the address field contains an individual (unicast) address. If the LSB is a 1, the address field contains a group (multicast) address that identifies none, one or more, or all network nodes connected. There is a special case of the multicast address known as the broadcast address, which is the 48-bit address of all 1s.
11.4.1.3
Type/Length Field
This 16-bit field takes one of two meanings depending on its numeric value and is transmitted and received with the high order byte first. If the value in this field is numerically equal-to or less-than the maximum data size in bytes of 1500 decimal (0x05DC hex), the field is being used as a length field. In this case, the value in the field indicates the number of bytes contained in the subsequent data field of the frame. When receiving this type frame, a compare of the value in the type/length field is made to the actual number of bytes received in the data field of the frame and an error is reported if there is not an exact match. If the value in this field is numerically greater-than or equal-to 1536 decimal (0x0600 hex), the field is being used as a type field. In this case, the hexadecimal identifier in the field is used to indicate the type of protocol data being carried in the data field of the frame. For example, the hexadecimal value of 0x0800 has been assigned as the identifier for the Internet protocol (IP). When receiving this type frame, no comparison of the value in the type/length field is made to the actual number of bytes received in the data field of the frame. If the value in this field is between 1501 and 1535, this frame is invalid but is not automatically rejected. When receiving this type frame, no comparison of the value in the type/length field is made to the actual number of bytes received in the data field of the frame. When transmitting, if the length of the data field is less than the minimum required for the data field of the frame, bytes of pad data are automatically added at the end of the data field but before the FCS field to make the data field meet the minimum length requirement. The content of pad data is all 0s. Upon reception of a frame, the length field stored in the receive buffer is used to determine the length of valid data in the data field, and any pad data is discarded by software.
11.4.1.4
Data Field
This field must contain a minimum of 46 bytes of data, and may range up to a maximum of 1500 bytes of data.
11.4.1.5
Frame Check Sequence
This 32-bit field contains the value that is used to check the integrity of the various bits in the frame fields excluding the preamble and SFD. This value is computed using the cyclic redundancy check (CRC), which is a polynomial calculated using the contents of the destination address, source address, type/length, and data fields.
MC9S12NE64 Data Sheet, Rev 1.0 346 Freescale Semiconductor
Functional Description
While the frame is being generated by the transmitting network node, the CRC value is simultaneously being calculated. The 32 bits of the CRC value are placed in the FCS field while the frame is sent. The X31 coefficient of the CRC polynomial is sent as the first bit of the field and the X0 coefficient as the last bit. The CRC is calculated again by the receiving network node while the frame is read in. The result of this second calculation is compared with the value sent in the FCS field by the originating network node. If the two values are identical, the receiving network node is provided with a high level of assurance that no errors have occurred during transmission over the network.
11.4.1.6
End-of-Frame Delimiter
The end-of-frame (EOF) delimiter is indicated by the de-assertion of the MII_TXEN signal for data on MII_TXD. This informs the PHY to send a special EOF symbol on the Ethernet. For data on the MII_RXD signal, the de-assertion of MII_RXDV constitutes an end-of-frame delimiter.
11.4.1.7
Interframe
The interframe period provides an observation window for a specified amount of time during which no data activity occurs on the MII. The de-assertion of MII_RXDV on the receive path and the de-assertion of MII_TXEN in the transmit path indicate the absence of data activity.
11.4.2
Receiver
The EMAC receiver is designed to work with very little intervention from the CPU. When the EMAC is enabled, it immediately starts processing receive frames as long as one of the receive buffer complete interrupt flags is clear. If both RXACIF and RXBCIF are clear, receive buffer A is used first. If one flag is set, reception occurs on the buffer with the cleared flag. If both flags are set, no data is stored to the received buffers. When MII_RXDV asserts, the receiver first checks for a valid PA/SFD sequence. If the PA/SFD is valid, it is stripped and the frame is processed by the receiver. If a valid PA/SFD is not found, the frame is ignored. The receiver checks for at least one byte matching the SFD (10101011). Zero or more PA bytes sent before the SFD byte are acceptable, but if an invalid PA is detected prior to the SFD byte, the frame is ignored. Following the SFD, the EMAC converts the nibble stream to a byte data stream. See Figure 11-23. After the first six bytes of the frame have been received, the EMAC performs address recognition on the frame. See Section 11.4.2.1, "Address Recognition." If address recognition rejects the frame, the receiver goes idle, the receive buffer stops receiving data, and the receive end-of-frame pointer is invalid. If address recognition accepts the frame, the receive buffer continues to receive data. After the first 14 bytes of the frame have been received, the EMAC performs type/length recognition on the frame. See Section 11.4.2.2, "Type/Length Recognition." If type/length recognition rejects the frame, the receiver goes idle, the receive buffer stops receiving data, and the receive end-of-frame pointer is invalid. If type/length recognition accepts the frame, the receive buffer continues to receive data.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 347
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
If a receive frame length is less than 64 bytes, the receive frame is considered a fragment and is dropped. Most fragments are the result of a collision, and as such are a completely normal and expected event on an Ethernet. If a receive frame length exceeds 1518, the receive frame is considered too long and is an error. The RXEIF bit becomes set and if not masked (RXEIE set to 1), the EMAC generates the receive error interrupt. If MII_RXER is asserted during reception, indicating a media error, the RXEIF bit becomes set and if not masked (RXEIE set to 1), the EMAC generates the receive error interrupt. If the type/length field is less-than or equal-to 1500 (but greater than 46), a length mismatch error occurs if the receive frame data field length does not match the length specified in the type/length field. If the type/length field is less than or equal to 46, a length mismatch error occurs if the receive frame data field length is not 46. If a length mismatch error occurs, the RXEIF bit becomes set and if not masked (RXEIE set to 1), the EMAC generates the receive error interrupt. The EMAC receiver automatically calculates a 4-byte frame check sequence from the receive frame and compares it with the CRC data suffixed to the receive frame. If a CRC error occurs, the RXEIF bit becomes set and if not masked (RXEIE set to 1), the EMAC generates the receive error interrupt. After the end of frame delimiter, the received frame is truncated to the nearest byte boundary. If there is an extra nibble, this dribble nibble is discarded. If the CRC value in the received frame is correct, the frame is accepted as valid. If the CRC value is incorrect and there is a dribble nibble, an alignment error has occurred and the RXEIF bit becomes set and if not masked (RXEIE set to 1), the EMAC generates the receive error interrupt. Frames that exceed the MAXFL field in byte length are not truncated. However, the BREIF bit becomes set and if not masked (BREIE set to 1), the EMAC generates the babbling receive error interrupt. If a receive frame exceeds the receive buffer size, the corresponding receive overrun error flag is set. In the overrun error event, the frame is not accepted and neither the corresponding complete flag nor the receive error flag is set. A babbling receive error condition is ignored if it occurs after a buffer overrun event and thus BREIF does not become set. Upon MAC flow control PAUSE frame reception, the RFCIF bit in the IEVENT register is asserted. If not masked (RFCIE is set), a receive flow control interrupt is pending while this flag is set. PAUSE frames may be accepted even if both receive buffers are full. The frame is accepted and the RFCIF flag is set only if there no receive error. When frame reception to either receive buffer A or receive buffer B is complete, the corresponding receive buffer complete flag is set, the value in the corresponding receive end-of-frame pointer is valid. If not masked (corresponding receive buffer complete interrupt enable is set to 1), the EMAC generates the corresponding receive buffer complete interrupt. The receiver buffer complete flag is set only if there are no receive errors and the frame has not been accepted as a MAC flow control PAUSE frame. If both receiver buffer complete flags are set, new receive frames are dropped until one of the complete flags is cleared. The receiver receives back-to-back frames with a minimum spacing of at least 96 bit times. If an interframe gap between receive frames is less than 96 bit times, the latter frame is not guaranteed to be accepted by the receiver.
MC9S12NE64 Data Sheet, Rev 1.0 348 Freescale Semiconductor
Functional Description
11.4.2.1
Address Recognition
The EMAC executes filtering by using the destination address of a receive frame and eliminates a frame that does not satisfy a given condition. See Figure 11-24 for the address recognition algorithm. 11.4.2.1.1 Promiscuous Mode
If the PROM bit is set, promiscuous mode is enabled and all frames are accepted regardless of address. The PROM bit does not affect any other filtering in the EMAC. 11.4.2.1.2 Unicast Filter
Unless the PROM bit is set, the 48-bit MAC address (MACAD) is compared for an exact match with the destination address of a receive frame with an individual address (group bit is 0). If the unicast address of the receive frame matches MACAD, the frame is accepted; otherwise, it is rejected. 11.4.2.1.3 Broadcast Filter
A broadcast frame (48-bit address of all 1s) is accepted if the BCREJ bit is 0 and rejected if the BCREJ bit is 1 unless the PROM bit is set. 11.4.2.1.4 Multicast Filter
If the CONMC bit is set to 0, all multicast frames are accepted. If the CONMC bit is 1 and the PROM bit is 0, only multicast frames with the hash table match are accepted. The hash table algorithm operates as follows. The 48-bit destination address is mapped into one of 64 bits, which are represented by the 64 bits stored in MCHASH. This mapping is performed by passing the 48-bit address through the 32-bit CRC generator and selecting the 6 most significant bits of the CRC-encoded result to generate a number between 0 and 63. If the CRC generator selects a bit that is set in the hash table, the frame is accepted; otherwise, it is rejected. To set the hash table, the CRC of a multicast address must be calculated and the corresponding bit must be set in advance. 11.4.2.1.5 PAUSE Destination Address
If the EMAC is in full-duplex mode and the RFCE bit is set, the receiver detects incoming PAUSE frames. A PAUSE frame has a 48-bit destination multicast address of 01-80-C2-00-00-01 or unique DA. Upon detection of a PAUSE frame, the frame is temporarily accepted for further type/length recognition.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 349
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
Receive Address Recognition
True
PROM = 1 ? False
False
BCREJ = 1 ? True
True
Broadcast Address ? False RFCE = 1 and Full Duplex ? False
True
False
Pause or Exact Address ? True
Exact Match ? False False
True
Group Bit = 1 ? True False
CONMC = 1 ? True
Hash Search Group Table
Pass to Type/Length Algorithm
Reject Frame
False
Match ?
True
Pass to Type/Length Algorithm
Figure 11-24. Receive Address Recognition Algorithm
11.4.2.2
Type/Length Recognition
The EMAC executes filtering by using the type/length field of a receive frame and rejects a frame that does not meet acceptance criteria. See Figure 11-25 for the type/length recognition algorithm.
MC9S12NE64 Data Sheet, Rev 1.0 350 Freescale Semiconductor
Functional Description
Receive Type/Length Recognition
RFCE = 1 and Full Duplex ? True
False
ETCTL = 0 ? False
True
Pause or Exact Address ? True
TYPE = 0x8808 and MAC Control Opcode = 0x0001 ?
False
FPET = 1 ?
True
False
TYPE = ETYPE ?
True
False
False
True FEMW = 1 ?
False
TYPE = 0x8876 ?
True
False
True
True Accept as MAC Control Frame FIPV6 = 1 ? TYPE = 0x86DD ? True
False
False
True FARP = 1 ?
False
TYPE = 0x0806 ?
True
False
True FIPV4 = 1 ?
False
TYPE = 0x0800 ?
True
False
True FIEEE = 1 ?
TYPE = 0x0000 - 0x05DC?
True
False
False
Accept Frame
Reject Frame
Figure 11-25. Receive Type/Length Recognition Algorithm
11.4.2.2.1
Ethertype Filter
While any of the ETCTL register bits are set, the Ethertype filter is enabled to reject frames that are not standard Ethernet protocols. In this case, the collection of set bits determines which Ethertypes are accepted; all other Ethertypes are rejected. If all bits of the ETCTL register are clear, Ethertype filtering is
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 351
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
not performed. If the FPET bit is set, frames with Ethertype matching the value in the ETYPE register are accepted. If the FEMW bit is set, frames with Emware Ethertype are accepted. If the FIPV6 bit is set, frames with Internet protocol version 6 Ethertype are accepted. If the FARP bit is set, frames with address resolution protocol Ethertype are accepted. If the FIPV4 bit is set, frames with Internet protocol Ethertype are accepted. If the FIEEE bit is set, frames with valid IEEE 802.3 length Ethertype are accepted. 11.4.2.2.2 PAUSE MAC Control Type
If the EMAC is in full-duplex mode and the RFCE bit is set, the receiver detects incoming PAUSE frames. After a PAUSE destination address has been detected, the type/length field is checked looking for a type value of 0x8808. If the type/length field does not contain this value, the frame is rejected; otherwise, the MAC control function reads the frame looking for MAC control operation codes carried in the data field. For more information on the function of MAC control, see Section 11.4.5.1, "MAC Flow Control."
11.4.3
Transmitter
The transmit data, which the user must write to the transmit buffer, consists of the destination address followed by the source address, type/length field, and the data field. The EMAC transmitter automatically appends the preamble, SFD, and FCS necessary for a transmit frame. It also automatically appends pad data to extend the data length to the 46-byte minimum frame length. After a frame has been written to the transmit buffer and the corresponding transmit end-of-frame pointer has been initialized, the EMAC transmitter is ready to transmit on the network. When a START command is executed by writing to the TCMD field, the EMAC transmit logic asserts MII_TXEN and starts transmitting the preamble sequence, the start frame delimiter, and then the frame information from the transmit buffer. The EMAC transmits bytes least significant nibble first. In half-duplex operation, the EMAC transmitter defers transmission if the network is busy and data transmission is started after the interframe gap interval. In full-duplex mode, the carrier sense is ignored, and data transmission is started after the interframe gap interval. See Section 11.4.3.1, "Interframe Gap," and Section 11.4.3.2, "Deferring." If a collision occurs within the collision window of 64 bytes during transmission of the frame (half-duplex mode), the EMAC transmitter follows the specified backoff procedures and attempts to retransmit the frame until the retry limit threshold is reached. See Section 11.4.3.3, "Collision Detection and Backoff." If the carrier sense is lost during transmission and no collision is detected in the frame, the EMAC sets the CSLF status bit. The frame is transmitted normally and no retries are performed as a result of a CSLF error. After the transmit frame is complete, the TXCIF bits are set. If not masked (TXCIE set to 1), the EMAC generates the frame transmission complete interrupt.
11.4.3.1
Interframe Gap
When the network becomes idle, a network node waits for a brief period called the interframe gap (IFG), and then transmits its frame. This is provided to allow a brief recovery time between frame reception for the Ethernet interfaces. The minimum interframe gap time for back-to-back transmission is 96 bit times.
MC9S12NE64 Data Sheet, Rev 1.0 352 Freescale Semiconductor
Functional Description
11.4.3.2
Deferring
In half-duplex mode, if there is a carrier (the network is busy), the network node continues to listen until the carrier ceases (network is idle). This is known as deferring to the passing traffic. As soon as the network becomes idle (which includes waiting for the interframe gap interval), the network node may begin transmitting a frame. The transmitter waits for the carrier sense to be negated for 60 bit times and then begins transmit after another 36 bit times.
11.4.3.3
Collision Detection and Backoff
The collision detection and backoff feature is a normal part of the operation of Ethernet 802.3 MAC protocol, and results in fast and automatic rescheduling of transmissions. This feature enables independent network nodes to compete for network access in a fair manner. It provides a way for network nodes to automatically adjust their behavior in response to the load of the network. 11.4.3.3.1 Collision Window
The collision window period is set to 64 byte times (512 bit times) starting after the SFD. If a collision occurs within the collision window period, the retry process is initiated. If a late collision occurs (that is, a collision after the collision window period), no retransmission is performed, the LCIF bit sets to 1, the transmit retry counter is cleared, and transmission is aborted. If not masked (LCIE is set), the EMAC generates a late collision interrupt. Due to latency associated with synchronizing the MII_COL signal, assertions in the last three MII_TXCLK cycles of a normally completed transmission (during the FCS) are ignored and a collision event is not recognized. 11.4.3.3.2 Jam Period
If a collision is detected anytime during transmission, the EMAC transmitter continues to transmit 32 bits of data (called the collision enforcement jam signal) so that other devices on the Ethernet network, including the offending transmitter, can detect the collision. If the collision is detected very early in the frame transmission, the EMAC transmitter continues sending until it has completed the preamble of the frame, after which it sends the 32 bits of jam data. If the collision is detected during the FCS, up to and including the transfer of the last nibble of FCS data, the 32 bit jam is still sent. 11.4.3.3.3 Backoff Generator
After a collision occurs within the collision window period, the delay time that the EMAC transmitter waits before attempting to retransmit the frame data is set at a multiple of the 512-bit Ethernet slot time. The amount of total backoff delay is calculated by multiplying the slot time by a pseudo-randomly chosen integer. The backoff algorithm uses the following formula to determine the integer r, which is used to multiply the slot time and generate a backoff delay. 0r<2 k
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 353
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
The exponent k is assigned a value that is equal to either the number of transmission attempts or the number 10, whichever is less. The coefficient r of the slot time is an integer randomly selected from a range of integers from 0 to one less than the value of two to the exponent k. Table 11-10 shows the range of backoff times that may occur on a channel.
Table 11-10. Backoff Times
Collision on Attempt Number 1 2 3 4 5 6 7 8 9 10-15 16 Range of Random Numbers 0...1 0...3 0...7 0...15 0...31 0...63 0...127 0...255 0...511 0...1023 N/A Range of Backoff Times (10 Mbps) 0...51.2 s 0...153.6 s 0...358.4 s 0...768.0 s 0...1.59 ms 0...3.23 ms 0...6.50 ms 0...13.06 ms 0...26.16 ms 0...52.38 ms Discard frame Range of Backoff Times (100 Mbps) 0...5.1 s 0...15.4 s 0...35.8 s 0...76.8 s 0...158.7 s 0...322.6 s 0...650.2 s 0...1.31 ms 0...2.62 ms 0...5.24 ms Discard frame
The RANDOM field in the EMISC register contains the 10-bit random number generated by the random generator in the backoff logic. If the SSB bit is set, the transmitter backoff logic forces a single slot backoff time of 512 bit times instead of following the random backoff algorithm. 11.4.3.3.4 Retry Counter
The EMAC transmitter has a retry counter, RETX, that counts the number of collisions within the collision window period that occur while attempting to send a single frame. The retry counter increments by 1 after each collision and resets to 0 when each frame is successfully transmitted. RETX is held at 0 when TXACT is clear. The EMAC transmitter attempts to retransmit up to 15 times. If a collision occurs when RETX is 15, the excessive collision interrupt flag (ECIF) is set to 1, the entire transmit frame is discarded, and the retry counters resets to 0. If not masked (ECIE set to 1), the EMAC generates the excessive collision interrupt. The TXACT bit in TXCTS will be asserted for the entire duration of the retry process. The next transmission can start as soon as TXACT is clear.
11.4.4
Ethernet Buffers
There are two receive Ethernet buffers and one transmit Ethernet buffer allocated within the system RAM. The size and starting address for each buffer is configured by the BUFMAP field in the BUFCFG register. See Section 11.3.2.15, "Ethernet Buffer Configuration (BUFCFG)."
11.4.4.1
Receive Ethernet Buffer
Upon reception, the receive Ethernet buffers store the destination address (DA), the source address (SA), the type/length field, the data field, and the frame check sequence (FCS). If the receiver has data to put into a receive buffer and the receive buffers are full, the receive frame is dropped. If the length of the receive frame is larger than the receive buffer, the corresponding receive buffer overrun flag bit is set to 1, and if
MC9S12NE64 Data Sheet, Rev 1.0 354 Freescale Semiconductor
Functional Description
not masked (corresponding receive buffer overrun interrupt enable bit is set to 1), the EMAC generates an overrun interrupt. In the receive buffer overrun event, buffer storage is halted and adjacent storage buffers are not corrupted.
11.4.4.2
Transmit Ethernet Buffer
Only the destination address (DA), the source address (SA), the type/length field, and the data field must be stored in the transmit Ethernet buffer. The transmitter automatically appends the frame check sequence. It also automatically appends pad data to extend the data length to 46 bytes if the data length of the frame written to the transmit buffer is less than the minimum data length. The value of the transmit end-of-frame pointer must correspond to the last byte in the data field byte, not including pad data.
11.4.5
Full-Duplex Operation
The IEEE 802.3x standard defines a second mode of operation, called full duplex, that bypasses the CSMA/CD (carrier sense multiple access/collision detect) protocol. The CSMA/CD protocol is half duplex, meaning two or more network nodes share a common transmission medium implying that a network node may either transmit data, or receive data, but never both at the same time. Full-duplex mode allows exactly two network nodes to simultaneously exchange data over a point-to-point link that provides independent transmit and receive paths. Because each network node can simultaneously transmit and receive data, the aggregate throughput of the link is effectively doubled. Because there is no contention for a shared medium, collisions cannot occur and the CSMA/CD protocol is unnecessary.
11.4.5.1
MAC Flow Control
Full-duplex mode includes an optional flow control mechanism for real-time control and manipulation of the frame transmission and reception process. This mechanism allows a receiving node that is becoming congested to request the sending node to stop sending frames for a selected short period of time. This is performed through the use of a PAUSE frame. If the congestion is relieved before the requested wait has expired, a second PAUSE frame with a zero time-to-wait value can be sent to request resumption of transmission. MAC control frames are identified by the exclusive assigned type value of 0x8808 (hex). They contain operational codes (opcodes) in the first two bytes of the data field. The MAC control opcode field for a PAUSE command is 0x0001 (hex). The next two bytes of the data field are the MAC control parameters field, which is a 16-bit value that specifies the duration of the PAUSE event in units of 512 bit times. Valid values are 0x0000 to 0xFFFF (hex). If an additional PAUSE frame arrives before the current PAUSE time has expired, its parameter replaces the current PAUSE time, so a PAUSE frame with parameter 0 allows traffic to resume immediately. A 42-byte reserved field (transmitted as all 0s) is required to pad the length of the PAUSE frame to the minimum Ethernet frame size. The destination address of the PAUSE frame must be set to the globally assigned multicast address 01-80-C2-00-00-01 (hex) or to the unique DA. This multicast address has been reserved by the IEEE 802.3 standard for use in MAC control PAUSE frames.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 355
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
Table 11-11. Ethernet PAUSE Frame Structure
Preamble 7 bytes SFD 1 byte DA 6 bytes = (01-80-C2-00-00-01) or unique DA SA 6 bytes Type/Length 2 bytes = MAC Control (88-08) MAC Control Opcode 2 bytes = (00-01) MAC Control Parameters Reserved FCS
2 bytes = 42 bytes = 4 bytes (00-00 to FF-FF) all 0s
11.4.5.2
Hardware Generated PAUSE Control Frame Transmission
As long as there is no transmission in progress and EMAC is in full-duplex mode, a PAUSE command can be launched by writing to the TCMD field. The EMAC builds a PAUSE frame according to Table 11-11 using the parameter value in the PTIME field and then transmits this frame. The DA field is set to 01-80-C2-00-00-01 (hex). When the transmitted PAUSE frame is complete, the TXCIF bit is set. If not masked (TXCIE set to 1) the EMAC generates the frame transmission complete interrupt. NOTE To transmit a MAC flow control pause frame using a unique DA, the user must construct a valid pause frame in the transmit buffer, configure the TXEFP register, and issue a START command. However, whenever issuing pause frames in this manner, the command is suspended while the received pause time counter value (PTIME) is nonzero and is sent after the time has expired.
11.4.5.3
PAUSE Control Frame Reception
While RFCE bit is set, the receiver detects PAUSE frames in full-duplex mode. Upon PAUSE frame detection, the RFCIF bit in the IEVENT register is asserted and the EMAC transmitter stops transmitting data frames for a duration after the current transmission is complete. The duration is given by the PAUSE time parameter in the received frame. If not masked (RFCIE is set), a receive flow control interrupt is pending while this flag is set. Although the reception of a PAUSE frame stops transmission of frames initiated with a START command, it does not prevent transmission of PAUSE control frames. PAUSE frames may be accepted even if both receive buffers are full.
11.4.6
MII Management
MII management access to a PHY is via the MII_MDC and MII_MDIO signals. MII_MDC has a maximum clock rate of 2.5 MHz. MII_MDIO is bidirectional and can be connected to 32 external devices or the internal PHY. When using the internal PHY, the MII_MDC and MII_MDIO signals are not visible to the user.
MC9S12NE64 Data Sheet, Rev 1.0 356 Freescale Semiconductor
Functional Description
11.4.6.1
Frame Structure
A transmitted MII management frame uses the MII_MDIO and MII_MDC pins. This frame has the following format:
 11.4.6.1.1 PRE (Preamble)
The preamble (pre) consists of 32 contiguous logic 1 bits on MII_MDIO with 32 corresponding cycles on MII_MDC to provide the PHY with a pattern that it can use to establish synchronization. The preamble is optional as determined by NOPRE. 11.4.6.1.2 ST (Start of Frame)
The start of frame (st) is indicated by a <01> pattern. This pattern ensures transitions from the default logic 1 line state to 0 and then returns to 1. 11.4.6.1.3 OP (Operation Code)
The operation code (op) for a read instruction is <10>. For a write operation, the operation code is <01>. 11.4.6.1.4 PHYAD (PHY Address)
The PHY address (phyad) is a 5-bit field, allowing up to 32 unique PHY addresses. The first address bit transmitted is the MSB of the address. 11.4.6.1.5 REGAD (Register Address)
The register address (regad) is a 5-bit field, allowing 32 individual registers to be addresses within each PHY. The first register bit transmitted is the MSB of the address. 11.4.6.1.6 TA (Turnaround)
The turnaround (ta) field is a two bit time spacing between the register address field and the data field of an MII management frame to avoid contention on the MII_MDIO signal during a read operation. For a read transaction, both the MAC and the PHY remain in a high impedance state for the first bit time of the turnaround. The PHY drives a 0 bit during the second bit time of the turnaround of a read transaction. During a write transaction, the MAC drives a 1 bit for the first bit time of the turnaround and a 0 bit for the second bit time of the turnaround. 11.4.6.1.7 DATA (Data)
The data (data) field is 16 bits wide. The first data bit transmitted and received is the MSB of the data. 11.4.6.1.8 IDLE (IDLE Condition)
During idle condition (idle), MII_MDIO is in the high impedance state.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 357
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
11.4.6.2
Read Operation
To perform a read operation through MII management, the OP field in MCMST must be written to 10 while the BUSY bit is clear. The PADDR field in MPADR indicates which PHY device is addressed and the RADDR in MRADR indicates which 16-bit register is read from the PHY device. The MII management creates an MII management frame and serially shifts it out to the PHY through the MII_MDIO pin. After the turnaround field, the PHY serially shifts the register data from the PHY to the EMAC through the MII_MDIO pin. After the read MII management frame operation has completed, the BUSY bit clears, the MRDATA register is updated, and the MMCIF bit in IEVENT is set. If not masked (MMCIE in IMASK is set), an MII management transfer complete interrupt is pending while this flag is set.
MDC
MDIO
(MAC)
z z z
MDIO
(PHY)
32 1s 0 1 1 0 0 1 1 1 0 0 0 0 0 1 z 0 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 0 z
Optional Preamble Start Opcode (Read) PHY Address (PHYAD = 0Eh) Register Address TA (REGAD = 01h) Register Data Idle
Figure 11-26. Typical MDC/MDIO Read Operation
11.4.6.3
Write Operation
To perform a write operation through MII management, the OP field in MCMST must be written to 01 while the BUSY bit is clear. The PADDR field in MPADR indicates which PHY device is addressed and the RADDR bit in MRADR indicates which 16-bit register is read from the PHY device. The MII management creates an MII management frame and serially shifts it out to the PHY through the MII_MDIO pin. After the turnaround field, the MWDATA register is serially shifted to the PHY through the MII_MDIO pin. After the write MII management frame operation has completed, the BUSY bit is cleared and the MMCIF bit in IEVENT is set. If not masked (MMCIE in IMASK is set), an MII management transfer complete interrupt is pending while this flag is set.
MDC MDIO
(MAC)
z
32 1s 0 1 0 1 0 1 1 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 z
Optional Start Opcode Preamble (Write) PHY Address (PHYAD = 0Eh) Register Address (REGAD = 01h) TA Register Data Idle
Figure 11-27. Typical MDC/MDIO Write Operation
11.4.7
Loopback
The MII transmit data stream is internally looped back as an MII receive data stream if the MLB bit is set. The MII_TXCLK and MII_RXCLK are internally driven from the system clock. MII_RXD is driven from MII_TXD. MII_RXDV is driven from MII_TXEN. MII_RXER is driven from MII_TXER. The
MC9S12NE64 Data Sheet, Rev 1.0 358 Freescale Semiconductor
Functional Description
MII_COL, MII_CRS, MII_MDC, and MII_MDIO signals are disabled. During loopback, the MII to external and internal PHYs are disabled. Loopback mode requires that the user set the FDX bit to configure for full-duplex mode. Loopback connects the outs to the ins and relies on the unidirectional nature of full duplex to transfer data in parallel. The bidirectional nature of half duplex does not allow the RX to accept transmit data without using some kind of intermediate storage buffer.
11.4.8
Software Reset
The EMAC provides a software reset capability. When the MACRST bit is set, all registers are reset to their default values. The EMACE bit is cleared. The receiver and transmitter are initialized. Any reception and/or transmission currently in progress is abruptly aborted.
11.4.9
Interrupts
When an interrupt event occurs, a bit is set in the IEVENT register. Note that bits in the IEVENT register are set by the event and remain set until cleared by software. If a bit in the IEVENT register is set and the corresponding bit is set in the IMASK register, the corresponding interrupt signal asserts. Individual interrupts are cleared by software by writing a 1 to the corresponding bit in the IEVENT register. The interrupt sources are listed in Table 11-12.
Table 11-12. Interrupt Vectors
Interrupt Source Receive Flow Control (RFCIF) Babbling Receive Error (BREIF) Receive Error (RXEIF) Receive Buffer A Overrun (RXAOIF) Receive Buffer B Overrun (RXBOIF) Receive Buffer A Complete (RXACIF) Receive Buffer B Complete (RXBCIF) MII Management Transfer Complete (MMCIF) Late Collision (LCIF) Excessive Collision (ECIF) Frame Transmission Complete (TXCIF) CCR Mask I bit I bit I bit I bit I bit I bit I bit I bit I bit I bit I bit Local Enable IMASK (RFCIE) IMASK (BREIE) IMASK (RXEIE) IMASK (RXAOIE) IMASK (RXBOIE) IMASK (RXACIE) IMASK (RXBCIE) IMASK (MMCIE) IMASK (LCIE) IMASK (ECIE) IMASK (TXCIE)
11.4.10 Debug and Stop
During system debug (freeze) mode, the EMAC functions normally.When the system enters low-power stop mode, the EMAC is immediately disabled. Any receive in progress is dropped and any PAUSE timeout is cleared. The user must not enter low-power stop mode while TXACT or BUSY is set.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 359
Chapter 11 Ethernet Media Access Controller (EMAC) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 360 Freescale Semiconductor
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.1 Introduction
The Ethernet physical transceiver (EPHY) is an IEEE 802.3 compliant 10BASE-T/100BASE-TX Ethernet PHY transceiver. The EPHY module supports both the medium-independent interface (MII) and the MII management interface. The EPHY requires a 25-MHz crystal for its basic operation.
12.1.1
* * *
Features
* * * * * * * * * * * * * * *
IEEE 802.3 compliant Full-/half-duplex support in all modes Medium-independent interface (MII), which has these characteristics: -- Capable of supporting both 10 Mbps and 100 Mbps data rates -- Data and delimiters are synchronous to clock references -- Provides independent four-bit wide transmit and receive data paths -- Provides a simple management interface Supports auto-negotiation Auto-negotiation next page ability Single RJ45 connection 1:1 common transformer Baseline wander correction Digital adaptive equalization Integrated wave-shaping circuitry Far-end fault detect MDC rates up to 25 MHz Supports MDIO preamble suppression Jumbo packet 2.5 V CMOS 2.5 V MII interface 125 MHz clock generator and timing recovery Loopback modes
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 361
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.1.2
.
Block Diagram
PHY_TXP PHY_TXN
PHY_RXP PHY_RXN
MII_RXCLK MII_RXDV MII_RXD[3:0] MII_RXER
PHY_RBIAS
PHY SUB BLOCK
MII_TXCLK MII_TXEN MII_TXD[3:0] MII_TXER MII_CRS MII_COL
MII_MDC
MII_MDIO MII INTERFACE IP BUS REGISTERS IP BUS SIGNALS
REF CLOCK
Figure 12-1. Ethernet Physical Transceiver (EPHY) Block Diagram
MC9S12NE64 Data Sheet, Rev 1.0 362 Freescale Semiconductor
External Signal Descriptions
RXP 10BASE-T RECEIVER POLARITY CORRECTION SQUELCH LINK DETECT CLOCK RECOVERY MANCHESTER DECODE
RXN
MII AUTO NEGOTIATE COLLISION CARRIER SENSE
100BASE-TX LOOPBACK TXP 10BASE-T DRIVER 100BASE-TX DIG LOOP B 10BASE-T DIG LOOP B
TXN
MANCHESTER ENCODER DIGITAL WAVE SHAPING
MII LOOPBACK 100BASE-TX DRIVER SCRAMBLER MLT-3 ENCODE 4B / 5B ENCODE
RBIAS VOLTAGE/ CURRENT REFERENCES
10BASE-T PLL 100BASE-TX PLL
MANAGEMENT (MII) CONFIGURATION REGISTERS
Figure 12-2. PHY Sub Block Diagram
12.2
External Signal Descriptions
This section contains the EPHY external pin descriptions.
12.2.1
PHY_TXP -- EPHY Twisted Pair Output +
Ethernet twisted-pair output pin. This pin is high-impedance out of reset.
12.2.2
PHY_TXN -- EPHY Twisted Pair Output -
Ethernet twisted-pair output pin. This pin is high-impedance out of reset.
12.2.3
PHY_RXP -- EPHY Twisted Pair Input +
Ethernet twisted-pair input pin. This pin is high-impedance out of reset.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 363
MII CONNECTIONS REF CLOCK MDIO
100BASE-TX RECEIVER
VGA CONTROL (COARSE EQUALIZER) DIGITAL EQUALIZER SLICER TIMING CONTROL BLW CONTROL
MLT-3 DECODE DESCRAMBLER
4B/5B DECODE
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.2.4
PHY_RXN -- EPHY Twisted Pair Input -
Ethernet twisted-pair input pin. This pin is high-impedance out of reset.
12.2.5
PHY_RBIAS -- EPHY Bias Control Resistor
Connect a 1.0% external resistor, RBIAS (see Electrical Characteristics chapter), between the PHY_RBIAS pin and analog ground. Place this resistor as near to the chip pin as possible. Stray capacitance must be kept to less than 10 pF (>50 pF will cause instability). No high-speed signals are permitted in the region of RBIAS.
12.2.6
PHY_VDDRX, PHY_VSSRX -- Power Supply Pins for EPHY Receiver
Power is supplied to the EPHY receiver through PHY_VDDRX and PHY_VSSRX. This 2.5 V supply is derived from the internal voltage regulator. There is no static load on those pins allowed. The internal voltage regulator is turned off, if VDDR is tied to ground.
12.2.7
PHY_VDDTX, PHY_VSSTX -- Power Supply Pins for EPHY Transmitter
External power is supplied to the EPHY transmitter through PHY_VDDTX and PHY_VSSTX. This 2.5 V supply is derived from the internal voltage regulator. There is no static load on those pins allowed. The internal voltage regulator is turned off, if VDDR is tied to ground.
12.2.8
PHY_VDDA, PHY_VSSA -- Power Supply Pins for EPHY Analog
Power is supplied to the EPHY PLLs through PHY_VDDA and PHY_VSSA. This 2.5 V supply is derived from the internal voltage regulator. There is no static load on those pins allowed. The internal voltage regulator is turned off, if VDDR is tied to ground.
12.2.9
COLLED -- Collision LED
Flashes in half-duplex mode when a collision occurs on the network if EPHYCTL0 LEDEN bit is set.
12.2.10 DUPLED -- Duplex LED
Indicates the duplex of the link, which can be full-duplex or half-duplex if EPHYCTL0 LEDEN bit is set.
12.2.11 SPDLED -- Speed LED
Indicates the speed of a link, which can be 10 Mbps or 100 Mbps if EPHYCTL0 LEDEN bit is set.
12.2.12 LNKLED -- Link LED
Indicates whether a link is established with another network device if EPHYCTL0 LEDEN bit is set.
MC9S12NE64 Data Sheet, Rev 1.0 364 Freescale Semiconductor
Memory Map and Register Descriptions
12.2.13 ACTLEC -- Activity LED
Flashes when data is received by the device if EPHYCTL0 LEDEN bit is set.
12.3
Memory Map and Register Descriptions
This section provides a detailed description of all registers accessible in the EPHY.
12.3.1
Module Memory Map
Table 12-1 gives an overview of all registers in the EPHY memory map. The EPHY occupies 48 bytes in the memory space. The register address results from the addition of base address and address offset. The base address is determined at the MCU level. The address offset is defined at the module level.
Table 12-1. EPHY Module Memory Map
Address Offset $__00 $__01 $__02 $__03 Use Ethernet Physical Transceiver Control Register 0 (EPHYCTL0) Ethernet Physical Transceiver Control Register 1 (EPHYCTL1) Ethernet Physical Transceiver Status Register (EPHYSR) RESERVED Access R/W R/W R/W R
12.3.2
12.3.2.1
Register Descriptions
Ethernet Physical Transceiver Control Register 0 (EPHYCTL0)
6 ANDIS 1 5 DIS100 1 4 DIS10 1 3 LEDEN 0 2 EPHYWAI 0 1 0 0 0 EPHYIEN 0
Module Base + $0
7 R EPHYEN W RESET: 0
= Unimplemented or Reserved
Figure 12-3. Ethernet Physical Transceiver Control Register 0 (EPHYCTL0)
Read: Anytime Write: See each bit description EPHYEN -- EPHY Enable This bit can be written anytime. 1 = Enables EPHY 0 = Disables EPHY
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 365
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
ANDIS -- Auto Negotiation Disable This bit can be written anytime, but the value is latched in the ANE bit of the MII PHY control register (MII address 0.12) only when the EPHYEN bit transitions from 0 to 1. 1 = Auto negotiation is disabled after start-up. A 0 is latched in the ANE bit of the MII PHY control register (MII address 0.12), and upon completion of the start-up delay (tStart-up), the EPHY will bypass auto-negotiation. The mode of operation will be determined by the manual setting of MII registers. 0 = Auto negotiation is enabled after start-up. A 1 is latched in the ANE bit of the MII PHY control register (MII address 0.12), and upon completion of the start-up delay (tStart-up), the EPHY will enter auto-negotiation. The mode of operation will be automatically determined. DIS100 -- Disable 100 BASE-TX PLL This bit can be written anytime. Allows user to power down the clock generation PLL for 100BASE-TX clocks. 1 = Disables 100BASE-TX PLL 0 = 100BASE-TX PLL state determined by EPHY operation mode DIS10 -- Disable 10BASE-T PLL This bit can be written anytime. Allows user to power down the clock generation PLL for 10BASE-T clocks. 1 = Disables 10BASE-T PLL 0 = 10 BASE-T PLL state determined by EPHY operation mode LEDEN -- LED Drive Enable This bit can be written anytime. 1 = Enables the EPHY to drive LED signals. 0 = Disables the EPHY to drive LED signals. EPHYWAI -- EPHY Module Stops While in Wait This bit can be written anytime. 1 = Disables the EPHY module while the MCU is in wait mode. EPHY interrupts cannot be used to bring the MCU out of wait. 0 = Allows the EPHY module to continue running during wait. EPHYIEN -- EPHY Interrupt Enable This bit can be written anytime. 1 = Enables EPHY module interrupts 0 = Disables EPHY module interrupts
12.3.2.2
Ethernet Physical Transceiver Control Register 1 (EPHYCTL1)
7 0 0 6 0 0 5 0 0 4 3 2 1 0
Module Base + $1
R W RESET: PHYADD4 PHYADD3 PHYADD2 PHYADD1 PHYADD0 0 0 0 0 0
= Unimplemented or Reserved
Figure 12-4. Ethernet Physical Transceiver Control Register 1 (EPHYCTL1)
MC9S12NE64 Data Sheet, Rev 1.0 366 Freescale Semiconductor
Memory Map and Register Descriptions
Read: Anytime Write: See each bit description PHYADD[4:0] -- EPHY Address for MII Requests These bits can be written anytime, but the EPHY address is latched to the MII PHY address register (MII address 21.4:0) only when the EPHYEN bit transitions from 0 to 1. PHYADD4 is the MSB of the of the EPHY address.
12.3.2.3
Ethernet Physical Transceiver Status Register (EPHYSR)
7 0 0 6 0 0 5 100DIS 1 4 10DIS 1 3 0 0 2 0 0 1 0 0 0 EPHYIF 0
Module Base + $2
R W RESET:
= Unimplemented or Reserved
Figure 12-5. Ethernet Physical Transceiver Status Register (EPHYSR)
Read: Anytime Write: See bit descriptions 100DIS -- EPHY Port 100BASE-TX mode status This bit is not writable -- read only. Output to indicate EPHY port Base100-TX mode status. 1 = EPHY port 100BASE-TX disabled 0 = EPHY port 100BASE-TX enabled 10DIS -- EPHY Port 10BASE-T mode status This bit is not writable. Output to indicate EPHY port 10BASE-T mode status. 1 = EPHY port 10BASE-T disabled 0 = EPHY port 10BASE-T enabled EPHYIF -- EPHY Interrupt Flag EPHYIF indicates that interrupt conditions have occurred. To clear the interrupt flag, write a 1 to this bit after reading the interrupt control register via the MII management interface. 1 = EPHY interrupt has occurred 0 = EPHY interrupt has not occurred
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 367
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.3.3
MII Registers
Table 12-2 gives an overview of all registers in the EPHY that are accessible via the MII management interface. These registers are not part of the MCU memory map.
Table 12-2. MII Registers
Address 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 %00000 %00001 %00010 %00011 %00100 %00101 %00110 %00111 %01000 %01001 %01010 %01011 %01100 %01101 %01110 %01111 %10000 %10001 %10010 Use Control Register Status Register PHY Identification Register 1 PHY Identification Register 2 Auto-Negotiation Advertisement Register Auto-Negotiation Link Partner Ability Register Auto-Negotiation Expansion Register Auto-Negotiation Next Page Transmit RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED RESERVED Interrupt Control Register Proprietary Status Register Proprietary Control Register Access Read/Write Read/Write4 Read/Write4 Read/Write4 Read/Write Read/Write4 Read/Write4 Read/Write Read/Write1 Read/Write1 Read/Write1 Read/Write1 Read/Write1 Read/Write1 Read/Write1 Read/Write1 Read/Write Read/Write4 Read/Write
1. Always read $00 2. Writable only in special modes (test_mode = 1) 4. Write has no effect.
NOTE Bit notation for MII registers is: Bit 20.15 refers to MII register address 20 and bit number 15.
12.3.3.1
EPHY Control Register
15 14
LOOP BACK
MII Register Address 0 (%00000)
13
DATA RATE
12
ANE
11
PDWN
10
ISOL
9
RAN
8
DPLX
7
COL TEST
6
0
5
0
4
0
3
0
2
0
1
0
0
0
R W RESET:
RESET
0
0 1 X 0 0 = Unimplemented or Reserved
0
1
0
0
0
0
0
0
0
0
Figure 12-6. Control Register
Read: Anytime Write: Anytime
MC9S12NE64 Data Sheet, Rev 1.0 368 Freescale Semiconductor
Memory Map and Register Descriptions
RESET -- EPHY Reset Resetting a port is accomplished by setting this bit to 1. 1 = The PHY will reset the port's status and registers to the default values. The PHY will also reset the PHY to its initial state. After the reset is complete, the PHY clears this bit automatically. The reset process will be completed within 1.3 ms of this bit being set. While the preamble is suppressed, the management interface must not receive an ST within three MDC clock cycles following a software reset. 0 = No effect LOOPBACK -- Digital Loopback Mode Determines Digital Loopback Mode 1 = Enables digital loopback mode. Port will be placed in loopback mode. Loopback mode will allow the TXD data to be sent to the RXD data circuitry within 512 bit times. The PHY will be isolated from the medium (no transmit or receive to the medium allowed) and the MII_COL signal will remain de-asserted, unless this bit is set. 0 = Disables digital loopback mode DATARATE -- Speed Selection The link speed will be selected either through the auto-negotiation process or by manual speed selection. ANE allows manual speed selection while it is set to 0. While auto-negotiation is enabled, DATARATE can be read or written but its value is not required to reflect speed of the link. 1 = While auto-negotiation is disabled, selects 100 Mbps operation 0 = While auto-negotiation is disabled, selects 10 Mbps operation ANE -- Auto-Negotiation Enable The ANE bit determines whether the A/N process is enabled. When auto-negotiation is disabled, DATARATE and DPLX determine the link configuration. While auto-negotiation is enabled, bits DATARATE and DPLX do not affect the link. 1 = Enables auto-negotiation 0 = Disables auto-negotiation PDWN -- Power Down When this bit is set, the port is placed in a low power consumption mode. 1 = Port is placed in a low power consumption mode. Normal operation will be allowed within 0.5 s after PDWN and ISOL are changed to 0. During a transition to power-down mode (or if already in power down mode), the port will respond only to management function requests through the MI interface. All other port operations will be disabled. When power-down mode is exited, all register values are maintained. The port will start its operation based on the register values. 0 = Normal operation ISOL -- Isolate 1 = Isolates the port's data path signals from the MII. The port will not respond to changes on MII_TXDx, MII_TXEN, and MII_TXER inputs, and it will present high impedance on MII_TXCLK, MII_RXCLK, MII_RXDV, MII_RXER, MII_RXDx, MII_COL, and MII_CRS outputs. The port will respond to management transactions while in isolate mode. 0 = Normal operation
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 369
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
RAN -- Restart Auto-Negotiation The RAN bit determines when the A/N process can start processing. 1 = When auto-negotiation is enabled (ANE=1), the auto-negotiation process will be restarted. After auto-negotiation indicates that it has been initialized, this bit is cleared. When bit ANE is cleared to indicate auto-negotiation is disabled, RAN must also be 0. 0 = Normal operation. DPLX -- Duplex Mode This mode can be selected by either the auto-negotiation process or manual duplex selection. Manual duplex selection is allowed only while the auto-negotiation process is disabled (ANE=0). While the auto-negotiation process is enabled (ANE = 1), the state of DPLX has no effect on the link configuration. While loopback mode is asserted (LOOPBACK =1), the value of DPLX will have no effect on the PHY. 1 = Indicates full-duplex mode 0 = Indicates half-duplex mode COLTEST -- Collision Test The collision test function will be enabled only if the loopback mode of operation is also selected (LOOPBACK = 1). 1 = Forces the PHY to assert the MII_COL signal within 512 bit times from the assertion of MII_TXEN and de-assert MII_COL within 4 bit times of MII_TXEN being de-asserted. 0 = Normal operation
12.3.3.2
Status Register
This register advertises the capabilities of the port to the MII.
MII Register Address 1 (%00001)
15 R W RESET:
100 T4
14
100X FD
13
100X HD
12
10T FD
11
10T HD
10
0
9
0
8
0
7
0
6
SUP PRE
5
AN COMP
4
REM FLT
3
AN ABL
2
LNK STST
1
JAB DT
0
EX CAP
0
1
1
1
1
0
0
0
0
1
0
0
1
0
0
1
= Unimplemented or Reserved
Figure 12-7. Status Register
Read: Anytime Write: Writes have no effect 100T4 --100BASE-T4 1 = Indicates PHY supports 100BASE-T4 transmission 0 = Indicates the PHY does not support 100BASE-T4 transmission This function is not implemented in the EPHY module. 100XFD --100BASE-TX Full-Duplex 1 = Indicates PHY supports 100BASE-TX full-duplex mode 0 = Indicates PHY does not support 100BASE-TX full-duplex mode
MC9S12NE64 Data Sheet, Rev 1.0 370 Freescale Semiconductor
Memory Map and Register Descriptions
100XHD --100BASE-TX Half-Duplex 1 = Indicates the PHY supports 100BASE-TX half-duplex mode 0 = Indicates the PHY does not support 100BASE-TX half-duplex mode 10TFD --10BASE-T Full-Duplex 1 = Indicates the PHY supports 10BASE-T full-duplex mode 0 = Indicates the PHY does not support 10BASE-T full-duplex mode 10THD --10BASE-T Half-Duplex 1 = Indicates the PHY supports 10BASE-T half-duplex mode 0 = Indicates the PHY does not support 10BASE-T half-duplex mode SUPPRE --MF Preamble Suppression 1 = Indicates that management frames are not required to contain the preamble stream 0 = Indicates that management frames are required to contain the preamble stream ANCOMP --Auto-Negotiation Complete To inform the management interface (MI) that it has completed processing, ANCOMP is set by the A/N process. After it has been started, the auto-negotiation process uses link code words to exchange capability information and establish the highest common denominator (HCD) for link transactions. 1 = Indicates that the auto-negotiation process has completed and that the contents of registers 4 through 7 are valid. 0 = Indicates that the auto-negotiation process has not completed and that the contents of registers 4 through 7 are not valid REMFLT -- Remote Fault Possible remote faults (RF) a) The link partner transmits the RF bit (5.13=1) b) Link partner protocol is not 00001 (5.4:0) c) Link partner advertises only T4 capability (5.9:5) d) No common operation mode found between PHY and the link partner. After it is set, REMFLT is cleared each time register 1 is read via the management interface. REMFLT is also cleared by a PHY reset. 1 = Indicates that a remote fault condition has been detected. 0 = No fault detected ANABL -- Auto-Negotiation Ability 1 = Indicates that PHY has auto-negotiation ability 0 = Indicates that PHY does not have auto-negotiation ability LNKSTST -- Link Status The PHY sets this bit when it determines that a valid link has been established. The occurrence of a link failure will cause LNKSTST to be cleared. After it has been cleared, it remains cleared until it is read via the management interface. 1 = Indicates a valid link has been established 0 = Indicates a valid link has NOT been established
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 371
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
JABDT --Jabber Detect After it is set, JABDT is cleared each time register 1 is read via the management interface. JABDT is also cleared by a PHY reset. For 100BASE-TX operation, this signal will always be cleared. 1 = Indicates that a jabber condition has been detected 0 = Indicates that no jabber condition has been detected EXCAP -- Extended capability 1 = Indicates that the extended register set (registers 2-31) has been implemented in the PHY. 0 = Indicates that the extended register set (registers 2-31) has NOT been implemented in the PHY
12.3.3.3
EPHY Identifier Register 1
Registers $_02 and $_03 provide the PHY identification code.
MII Register Address 2 (%00010)
15 R W RESET: 14 13 12 11 10 9 8
PHYID
7
6
5
4
3
2
1
0
0
0
0
0
01
0
0
0
0
0
1
0
1
1
0
0
= Unimplemented or Reserved
Figure 12-8. EPHY Identifier Register 1
Read: Anytime Write: Writes have no effect -- Read only PHYID -- PHY ID Number Composed of bits 3:18 of the organization unique identifier (OUI).
12.3.3.4
EPHY Identifier Register 2
Registers $_02 and $_03 provide the PHY identification code.
MII Register Address 3 (%00011)
15 R W RESET: 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
PHYID MODELNUMBER REVISIONNUMBER
0
0 0 0 0 0 = Unimplemented or Reserved
0
0
0
0
0
1
0
0
0
1
Figure 12-9. EPHY Identifier Register 2
Read: Anytime Write: Writes have no effect -- Read only PHYID -- PHY ID number organization unique identifier. Composed of bits 15:10. MODELNUMBER -- Manufacturers model number. Composed of bits 9:4. REVISIONNUMBER -- Manufacturers revision number. Composed of bits 3:0.
MC9S12NE64 Data Sheet, Rev 1.0 372 Freescale Semiconductor
Memory Map and Register Descriptions
12.3.3.5
Auto-Negotiate (A/N) Advertisement Register
The auto-negotiation (A/N) process requires four registers to communicate link information with its link partner: A/N advertisement register (MII register 4), A/N link partner ability register (MII register 5), A/N expansion register (MII register 6), and the A/N next page transmit register (MII register 7). Figure 12-10 shows the contents of the A/N advertisement register. On power-up, before A/N starts, the register sets the selector field, bits 4.4:0, to 00001 to indicate that it is IEEE Standard 802.3 compliant. The technology ability fields (4.9:5) are set according to the values in the MII status register (1.15:11). The MI can set the technology ability field bits before renegotiations to allow management to auto-negotiate to an alternate common mode.
MII Register Address 4 (%00100)
15 R W RESET:
NXTP
14
0
13
RFLT
12
0
11
0
10
FLCTL
9
0
8
TAF 100FD
7
TAF 100HD
6
TAF 10FD
5
TAF 10HD
4
3
2
1
0
SELECTORFIELD[4:0]
1
0
0
0
0
0
0
1
1
1
1
0
0
0
0
1
= Unimplemented or Reserved
Figure 12-10. Auto Negotiate Advertisement Register
Read: Anytime Write: Never NXTP -- Next Page 1 = Capable of sending next pages 0 = Not capable of sending next pages RFLT -- Remote Fault 1 = Remote fault 0 = No remote fault FLCTL -- Flow Control 1 = Advertise implementation of the optional MAC control sublayer and pause function as specified in IEEE standard clause 31 and anex 31B of 802.3. Setting FLCTL has no effect except to set the corresponding bit in the FLP stream 0 = No MAC-based flow control TAF100FD -- 100BASE-TX Full-Duplex 1 = 100BASE-TX full -duplex capable 0 = Not 100BASE-TX full-duplex capable TAF100HD -- 100BASE-TX Half-Duplex 1 = 100BASE-TX half-duplex capable 0 = Not 100BASE-TX half-duplex capable TAF10FD -- 10BASE-T Full-Duplex 1 = 10BASE-T full-duplex capable 0 = Not 10BASE-T full-duplex capable
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 373
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
TAF10HD -- 10BASE-T Half-Duplex 1 = 10BASE-T half-duplex capable 0 = Not 10BASE-T half-duplex capable
12.3.3.6
Auto Negotiation Link Partner Ability (Base Page)
Figure 12-11 shows the contents of the A/N link partner ability register. The register can only be read by the MI and will be written by the auto-negotiation process when it receives a link code word advertising the capabilities of the link partner. This register has a dual purpose: exchange of base page information as shown in Figure 12-11, and exchange of next page information as shown in Figure 12-12.
MII Register Address 5 (%00101) (Base Page)
15 R W RESET:
NXTP
14
ACK
13
RFLT
12
11
10
FCTL
9
TAF 100T4
8
TAF 100FD
7
TAF 100HD
6
TAF 10FD
5
TAF 10HD
4
3
2
1
0
TAF[1:0]
SELECTORFIELD[4:0]
X
X X X X X = Unimplemented or Reserved
X
X
X
X
X
X
X
X
X
X
Figure 12-11. Auto Negotiation Link Partner Ability Register (Base Page)
Read: Write: NXTP -- Next Page 1 = Link partner capable of sending next pages 0 = Link partner not capable of sending next pages ACK -- Acknowledge 1 = Link Partner has received link code word 0 = Link Partner has not received link code word RFLT -- Remote Fault 1 = Remote fault 0 = No remote fault FLCTL -- Flow Control 1 = Advertises implementation of the optional MAC control sublayer and pause function as specified in IEEE standard clause 31 and anex 31B of 802.3. Setting FLCTL has no effect on the PHY. 0 = No MAC-based flow control TAF100T4 -- 100BASE-T4 Full-Duplex 1 = Link partner is 100BASE-T4 capable 0 = Link partner is not 100BASE-T4 capable This function is not implemented in the EPHY. TAF100FD -- 100BASE-TX Full-Duplex 1 = Link partner is 100BASE-TX full-duplex capable 0 = Link partner is not 100BASE-TX full-duplex capable
MC9S12NE64 Data Sheet, Rev 1.0 374 Freescale Semiconductor
Memory Map and Register Descriptions
TAF100HD -- 100BASE-TX Half-Duplex 1 = Link partner is 100BASE-TX half-duplex capable 0 = Link partner is not 100BASE-TX half-duplex capable TAF10FD -- 10BASE-T Full-Duplex 1 = Link partner is10BASE-T full-duplex capable 0 = Link partner is not 10BASE-T full-duplex capable TAF10HD -- 10BASE-T Half-Duplex 1 = Link partner is 10BASE-T half-duplex capable 0 = Link partner is not 10BASE-T half-duplex capable
12.3.3.7
Auto Negotiation Link Partner Ability (Next Page)
15 14
ACK
MII Register Address 5 (%00101) (Next Page)
13
MSGP
12
ACK2
11
TGL
10
9
8
7
6
5
4
3
2
1
0
R W RESET:
NXTP
Message/Unformatted Code Field [10:0]
X
X X X X X = Unimplemented or Reserved
X
X
X
X
X
X
X
X
X
X
Figure 12-12. Auto Negotiation Link Partner Ability Register (Next Page)
Read: Anytime Write: See each field description NXTP -- Next Page 1 = Additional next pages will follow 0 = Last page transmitted ACK -- Acknowledge ACK is used to acknowledge receipt of information. 1 = Link partner has received link code word 0 = Link partner has not received link code word MSGP -- Message Page 1 = Message page 0 = Unformatted page ACK2 -- Acknowledge 2 ACK2 is used to indicate that the receiver is able to act on the information (or perform the task) defined in the message. 1 = Receiver is able to perform the task defined in the message 0 = Receiver is unable to perform the task defined in the message TGL -- Toggle 1 = Previous value of the transmitted link code word equalled 0 0 = Previous value of the transmitted link code word equalled 1
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 375
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
Message/Unformatted Code Field Message code field -- Predefined code fields defined in IEEE 802.3u-1995 Annex 28C Unformatted code filed -- 11-bit field containing an arbitrary value
12.3.3.8
Auto-Negotiation Expansion Register
Figure 12-13 shows the contents of the A/N expansion register. The MI process can only read this register. This register contains information about the A/N capabilities of the port's link partner and information on the status of the parallel detection mechanism.
MII Register Address 6 (%00110)
15 R W RESET:
0
14
0
13
0
12
0
11
0
10
0
9
0
8
0
7
0
6
0
5
0
4
3
2
1
0
PDFLT LPNPA NXTPA PRCVD LPANA
0
0 0 0 0 0 = Unimplemented or Reserved
0
0
0
0
0
0
0
1
0
0
Figure 12-13. Auto-Negotiation Expansion Register
Read: Anytime Write: Never PDFLT -- Parallel Detection Fault This bit is used to indicate that zero or more than one of the NLP receive link integrity test function for 100BASE-TX have indicated that the link is ready (link_status=READY) when the A/N wait timer has expired. PDFLT will be reset to 0 after a read of register 6. 1 = Parallel detection fault has occurred 0 = Parallel detection fault has not occurred LPNPA -- Link Partner Next Page Able Bit to indicate whether the link partner has the capability of using NP. 1 = Link partner is next page able 0 = Link partner is not next page able NXTPA -- Next Page Able This bit is used to inform the MI and the link partner whether the port has next page capabilities. 1 = The port has next page capabilities 0 = The port does not have next page capabilities PRCVD -- Page Received Bit is used to indicate whether a new link code word has been received and stored in the A/N link partner ability register (MII register 5). PRCVD is reset to 0 after register 6 is read. 1 = Three identical and consecutive link code words have been received from link partner 0 = Three identical and consecutive link code words have not been received from link partner
MC9S12NE64 Data Sheet, Rev 1.0 376 Freescale Semiconductor
Memory Map and Register Descriptions
LPANA -- Link Partner A/N Able Indicates whether the link partner has A/N capabilities. 1 = Link partner is A/N able 0 = Link partner is not A/N able
12.3.3.9
Auto Negotiation Next Page Transmit
Figure 12-14 shows the contents of the A/N next page transmit register. The MI writes to this register if it needs to exchange more information with the link partner. The PHY defaults to sending only a NULL message page to the link partner unless the STA overrides the values in the register. Next pages will be transmitted until the link partner has no more pages to transmit and bit 7.15 has been cleared by the STA.
MII Register Address 7 (%00111)
15 R W RESET:
NXTP
14
0
13
MSGP
12
ACK2
11
TGL
10
9
8
7
6
5
4
3
2
1
0
Message/Unformatted Code Field [10:0]
0
0
1
0
0
0
0
0
0
0
0
0
0
0
0
1
= Unimplemented or Reserved
Figure 12-14. Auto Negotiation Next Page Transmit Register
Read: Anytime Write: Never NXTP -- Next Page 1 = Additional next pages will follow 0 = Last page to transmit MSGP -- Message Page 1 = Message page 0 = Unformatted page ACK2 -- Acknowledge 2 ACK2 is used to indicate that the receiver is able to act on the information (or perform the task) defined in the message. 1 = Receiver is able to perform the task defined in the message 0 = Receiver is unable to perform the task defined in the message TGL -- Toggle 1 = Previous value of the transmitted link code word equalled 0 0 = Previous value of the transmitted link code word equalled 1 Message/Unformatted Code Field Message code field -- Predefined code fields defined in IEEE 802.3u-1995 Annex 28C Unformatted code field -- Eleven bit field containing an arbitrary value
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 377
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
12.3.4
PHY-Specific Registers
PHY also contains a number of registers to set its internal mode of operation. These registers can be set through the external management interface to determine capabilities such as speed, test-mode, circuit bypass mode, interrupt setting, etc. The PHY register set includes registers 16 through 29. These registers are not part of the MCU memory map.
12.3.4.1
Interrupt Control Register
15 14
ACKIE
MII Register Address 16 (%10000)
13
PRIE
12
LCIE
11
ANIE
10
PDFIE
9
RFIE
8
JABIE
7
0
6
ACKR
5
PGR
4
LKC
3
ANC
2
PDF
1
RMTF
0
JABI
R W RESET:
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 12-15. Interrupt Control Register
Read: Anytime Write: Anytime ACKIE -- Acknowledge Bit Received Interrupt Enable 1 = Enable interrupt when the acknowledge bit is received from the link partner 0 = Disable interrupt when acknowledge bit is received PRIE -- Page Received INT Enable 1 = Enable interrupt when a new page is received 0 = Disable interrupt when a page is received LCIE -- Link Changed Enable 1 = Enable interrupt when the link status changes 0 = Disable interrupt when the link status changes ANIE -- Auto-Negotiation Changed Enable 1 = Enable interrupt when the state of the auto-negotiation state machine has changed since the last access of this register 0 = Disable interrupt when the state of the auto-negotiation state machine has changed since the last access of this register PDFIE -- Parallel Detect Fault Enable 1 = Enable interrupt on a parallel detect fault 0 = Disable interrupt on a parallel detect fault RFIE -- Remote Fault Interrupt Enable 1 = Enable interrupt on a parallel detect fault 0 = Disable interrupt on a parallel detect fault JABIE -- Jabber Interrupt Enable 1 = Enable setting interrupt on detection of a jabber condition 0 = Disable setting interrupt on detection of a jabber condition
MC9S12NE64 Data Sheet, Rev 1.0 378 Freescale Semiconductor
Memory Map and Register Descriptions
ACKR -- Acknowledge Bit Received 1 = Acknowledge bit has been received from the link partner 0 = Acknowledge bit has not been received since the last access of this register. (ACK bit 14 of the auto-negotiation link partner ability register was set by receipt of link code word) PGR -- Page Received 1 = A new page has been received from the link partner 0 = A new page has not been received from the link partner since the last access of this register (Bit 1 was set by a page received event) LKC -- Link Changed 1 = The link status has changed since the last access of this register 0 = The link status has not changed since the last access of this register. (LNK bit 14 of the proprietary status register was changed) ANC -- Auto-Negotiation Changed 1 = The auto-negotiation status has changed since the last access of this register 0 = The auto-negotiation status has not changed since the last access of this register PDF -- Parallel Detect Fault 1 = A parallel-detect fault has occurred since the last access of this register 0 = A parallel-detect fault has not been detected since the last access of this register. (Bit 4 was set by rising edge of parallel detection fault) RMTF -- Remote Fault 1 = A remote fault condition has been detected since the last access of this register 0 = A remote fault condition has not been detected since the last access of this register. (RMTF bit 4 of the status register was set by rising edge of a remote fault) JABI -- Jabber Interrupt 1 = A jabber condition has been detected since the last access of this register 0 = A jabber condition has not been detected since the last access of this register (JABD bit 1 of the status register was set by rising edge of jabber condition)
12.3.4.2
Proprietary Status Register
15 14
LNK
MII Register Address 17 (%10001)
13
DPMD
12
SPD
11
0
10
9
8
ANC MODE
7
0
6
0
5
PLR
4
0
3
0
2
0
1
0
0
0
R W RESET:
0
ANNC PRCVD
0
1 1 1 0 0 = Unimplemented or Reserved
0
(1)
0
0
0
0
0
0
0
0
Figure 12-16. Proprietary Status Register
Read: Anytime Write:
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 379
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
LNK -- Link Status This is a duplicate of LNKSTAT bit 2 of the status register (1.2). 1 = Link is down 0 = Link is up DPMD -- Duplex Mode 1 = Full-duplex 0 = Half-duplex SPD -- Speed 1 = 100 Mbps 0 = 10 Mbps ANNC -- Auto-Negotiation Complete This is a duplicate of ANCOMP bit 5 of the status register (1.5) 1 = A-N complete 0 = A-N not complete PRCVD -- Page Received 1 = Three identical and consecutive link code words have been received 0 = Three identical and consecutive link code words have not been received ANCMODE -- Auto-Negotiation (A-N) Common Operating Mode This bit is only valid while the ANNC bit 10 is 1 1 = A common operation mode was not found 0 = A-N is complete and a common operation mode has been found PLR -- Polarity Reversed (10BASE-T) 1 = 10BASE-T receive polarity is reversed 0 = 10BASE-T receive polarity is normal
12.3.4.3
Proprietary Control Register
15 0 0 14
FE FLTD
MII Register Address 18 (%10010)
13
MIILBD
12
0
11
1
10
JBDE
9
LNK TSTD
8
POL CORD
7
ALGD
6
ENC BYP
5
SCR BYP
4
TRD ANALB
3
TR TST
2
0
1
0
0
0
R W RESET:
0
1
0
1
1
0
0
0
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 12-17. Proprietary Control Register
The miscellaneous (EMISC) register provides visibility of internal counters used by the EMAC. Read: Anytime Write: Anytime
MC9S12NE64 Data Sheet, Rev 1.0 380 Freescale Semiconductor
Functional Description
FEFLTD -- Far End Fault Disable 1 = Far end fault detect is disabled 0 = Far end fault detect on receive and transmit is enabled. This applies only while auto-negotiation is disabled MIILBO -- MII Loopback Disable 1 = Disable MII loopback 0 = MII transmit data is looped back to the MII receive pins JBDE -- Jabber Detect Enable (10BASE-T) 1 = Enable jabber detection 0 = Disable jabber detection LNKTSTD -- Link Test Disable (10BASE-T) 1 = Disable 10BASE-T link integrity test 0 = 10BASE-T link integrity test enabled POLCORD -- Disable Polarity Correction (10BASE-T) 1 = 10BASE-T receive polarity correction is disabled 0 = 10BASE-T receive polarity is automatically corrected ALGD -- Disable Alignment 1 = Un-aligned mode. Available only in symbol mode 0 = Aligned mode ENCBYP -- Encoder Bypass 1 = Symbol mode and bypass 4B/5B encoder and decoder 0 = Normal mode SCRBYP -- Scrambler Bypass Mode (100BASE-TX) 1 = Bypass the scrambler and de-scrambler 0 = Normal TRDANALB -- Transmit and Receive Disconnect and Analog Loopback 1 = High-impedance twisted pair transmitter. Analog loopback mode overrides and forces this bit 0 = Normal operation TRTST -- Transmit and Receive Test (100BASE-TX) 1 = Transmit and receive data regardless of link status 0 = Normal operation
12.4
Functional Description
The EPHY is an IEEE 802.3 compliant 10/100 Ethernet physical transceiver. The EPHY can be configured to support 10BASE-T or 100BASE-TX applications. The EPHY is configurable via internal registers which are accessible through the MII management interface as well as limited configurability using the EPHY register map. There are five basic modes of operation for the EPHY: * Power down/initialization * Auto-negotiate
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 381
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
* * *
10BASE-T 100BASE-TX Low-power
12.4.1
Power Down/Initialization
Upon reset, the EPHYEN bit, in the Ethernet physical transceiver control register 0 (EPHYCTL0), is cleared and EPHY is in its lowest power consumption state. All analog circuits are powered down. The twisted-pair transmitter and receiver pins (PHY_TXP, PHY_TXN, PHY_RXP, and PHY_RXN) are high-impedance. The MII management interface is not accessible. All MII registers are initialized to their reset state. The ANDIS, DIS100, and DIS10 bits, in the EPHYCTL0 register, have no effect until the EPHYEN bit is set. The EPHYEN bit can be set or cleared by a register write at any time. Prior to enabling the EPHY, setting EPHYEN to 1, the MII PHY address PHYADD[4:0] must be set in the Ethernet physical transceiver control register 1 (EPHYCTL1), and the ANDIS, DIS100, DIS10 bits, in the EPHYCTL0 register, must be configured for the desired start-up operation. Whenever the EPHYEN bit transitions from 0 to 1, MDIO communications must be delayed until the completion of a start-up delay period (tStart-up, see Figure 12-19).
MC9S12NE64 Data Sheet, Rev 1.0 382 Freescale Semiconductor
Functional Description
RESET or EPHYEN=0
Set PHYADD[4:0], and ANDIS, DIS100, DIS10
Set EPHYEN=1
PHYADD[4:0] and ANDIS become latched in MII registers
Delay for tStart-up
Configure MII registers via MDIO
Initialization Complete Figure 12-18. EPHY Start-Up / Initialization Sequence
EPHYEN
MDIO
tStart-up Figure 12-19. EPHY Start-Up Delay
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 383
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
If the auto-negotiation mode of operation is desired, the ANDIS bit in the EPHYCTL0 must be set to 0 and the DIS100 and DIS10 bits must be cleared prior to setting EPHYEN to 1. Refer to Section 12.4.2, "Auto-Negotiation," for more information on auto-negotiation operation. If the mode of operation will be set manually, the ANDIS bit must be set to 1 in the EPHYCTL0 register and the DIS100 and DIS10 bits must be cleared prior to setting EPHYEN to 1. After the EPHYEN bit has been set and the start-up delay period is completed, the mode of operation can be configured through the MII registers. Table 12-3 summarizes the MII register configuration and operational modes.
Table 12-3. Operational Configuration While Auto-Negotiation is Disabled1
Bit 0.12 Auto Neg. 0 0 0 0 0 0 Bit 0.13 Data Rate 0 0 1 1 1 1 Bit 0.8 Duplex 1 0 1 1 1 1 Bit 18.6 Encoder Bypass X X 0 1 1 1 Bit 18.5 Scrambler Bypass X X 0 0 0 1 Bit 18.7 Symbol Unalign X X 0 0 1 0 Operation 10BASE-T full-duplex 10BASE-T half-duplex 100BASE-TX full-duplex 100BASE-TX full-duplex with encoder bypass (symbol mode) -- aligned 100BASE-TX full-duplex with encoder bypass (symbol mode) -- unaligned 100BASE-TX full-duplex with scrambler and encoder bypassed (symbol mode), aligned 100BASE-TX full-duplex with scrambler and encoder bypassed (symbol mode), unaligned 100BASE-TX half-duplex
0
1
1
1
1
1
0
1
1
0
0
0
0
Symbol mode is not supported.
12.4.2
Auto-Negotiation
Auto-negotiation is used to determine the capabilities of the link partner. Auto-negotiation is compliant with IEEE 802.3 clause 28. In this case, the PHY will transmit fast link pulse (FLP) bursts to share its capabilities with the link partner. If the link partner is also capable of performing auto-negotiation, it will also send FLP bursts. The information shared through the FLP bursts will allow both link partners to find the highest common mode (if it exists). If no common mode is found, the remote fault bit (1.4) will be set. A remote fault is defined as a condition in which the PHY and the link partner cannot establish a common operating mode. Configuring auto-negotiation advertisement register sets the different auto-negotiation advertisement modes. If the link partner does not support auto-negotiation, it will transmit either normal link pulses (NLP) for 10 Mbps operation, or 100 Mbps idle symbols. Based on the received signal, the PHY determines whether the link partner is 10 Mbps capable or 100 Mbps capable. The ability to do this is called parallel detection. If using parallel detection, the link will be configured as a half-duplex link. After parallel detection has established the link configuration, the remote fault bit will be set if the operating mode does not match the pre-set operating modes.
MC9S12NE64 Data Sheet, Rev 1.0 384 Freescale Semiconductor
Functional Description
Figure 12-20 shows the main blocks used in the auto-negotiation function. The transmit block allows transmission of fast link pulses to establish communications with partners that are auto-negotiation able. The receive block determines the capabilities of the link partner and writes to the link partner ability register (register 5). The arbitration block determines the highest common mode of operation to establish the link.
MR_ADV_ABILITY[15:0]
MR_PARALLEL_DETECTION_FAULT MR_AUTONEG_COMPLETE
MR_LP_ADV_ABILITY[15:0]
MR_ADV_ABILITY[3:0]
MR_LP_ADV_ABILITY[3:0]
complete_ack transmit_ability transmit_ack TRANSMIT transmit_disable flp_link_good ack_finished ARBITRATION
flp_receive_idle match_wo_ack match_w_ack receive_done flp_link_good RECEIVE
CLK POWER_ON MR_MAIN_RESET MR_AUTONEG_ENABLE
1 2
1 LP_LINK_CONTROL[1:0] 2 LP_LINK_STATUS[1:0]
NLP RECEIVE LINK INTEGRITY TEST
TD_AUTONEG
TX_LINK_CONTROL[1:0] TX_LINK_STATUS[1:0]
DO
RD
LINKPULSE
LINK_TEST_RECEIVE
Figure 12-20. Auto-Negotiation
12.4.3
10BASE-T
The 10BASE-T interface implements the physical layer specification for a 10 Mbps over two pairs of twisted-pair cables. The specifications are given in clause 14 of the IEEE 802.3 standard. In 10BASE-T mode, Manchester encoding is used. When transmitting, nibbles from the MII are converted to a serial bit stream and then Manchester encoded. When receiving, the Manchester encoded bit stream is decoded and converted to nibbles for presentation to the MII.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 385
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
A 2.5 MHz internal clock is used for nibble wide transactions. A 10 MHz internal clock is used for serial transactions.
TX MII PARALLEL TO SERIAL MANCHESTER ENCODER DIGITAL FILTER PHY_TXN PHY_TXP
CARRIER SENSE
JABBER
DIGITAL LOOPBACK (bit 0.14)
LINE TRANSMITTER/ LINE RECEIVER
RX MII
SERIAL TO PARALLEL
MANCHESTER DECODER AND TIMING RECOVERY
POLARITY CHECK
SQUELCH
PHY_RXN PHY_RXP
Figure 12-21. 10BASE-T Block Diagram
Parallel to Serial: Converts the 4-bit wide nibbles from the MII to serial format before the information is processed by subsequent blocks. Manchester Encoder: Allows encoding of both the clock and data in one bit stream. A logical one is encoded as a zero when the clock is high and a one when the clock is low. A logical zero is encoded as a one when the clock is high and a zero when the clock is low. Digital Filter: Performs pre-emphasis and low pass filtering of the input Manchester data. DAC: Converts the digital data to an analog format before transmission on the media. Carrier Sense: In half-duplex operation, carrier is asserted when either the transmit or receive medium is active. In full-duplex operation, carrier asserted only on reception of data. During receive, carrier sense is asserted during reception of a valid preamble, and de-asserted after reception of an EOF. Loopback: Enabled when bit 0.14 is asserted. This loopback mode allows for the Manchester encoded and filtered data to be looped back to the squelch block in the receive path. All the 10BASE-T digital functions are exercised during this mode. The transmit and receive channels are disconnected from the media. MII loopback (18.13) must be disabled to allow for correct operation of the digital loopback (0.14). Link Generator: Generates a 100 ns duration pulse at the end of every 12 ms period of the transmission path being idle (TXEN de-asserted). This pulse is used to keep the 10BASE-T link operational in the absence of data transmission.
MC9S12NE64 Data Sheet, Rev 1.0 386 Freescale Semiconductor
Functional Description
Link Integrity Test: Used to determine whether the 10BASE-T link is operational. If neither data nor a link pulse is received for 64 ms, then the link is considered down. While the link is down, the transmit, loopback, collision detect, and SQE functions are disabled. The link down state is exited after receiving data or four link pulses. Jabber: Prevents the transmitter from erroneously transmitting for too long a period. The maximum time the device can transmit is 50,000 bit times. When the jabber timer is exceeded, the transmit output goes idle for 0.525 s. This function can be disabled with the jabber inhibit register bit (18.10). Squelch: Used to determine whether active data, a link pulse, or an idle condition exists on the 10BASE-T receive channel. While an idle or link pulse condition exists, a higher squelch level is used for greater noise immunity. The squelch output is used to determine when the Manchester decoder should operate. The output is also used to determine when an end of packet is received. Polarity Check: By examining the polarity of the received link pulses, EPHY can determine whether the received signal is inverted. If the pulses are inverted, this function changes the polarity of the signal.This feature is activated if eight inverted link pulses are received or four frames with inverted EOF are encountered. Manchester Decoder and Timing Recovery: Decodes the Manchester encoded data. The receive data and clock are recovered during this process. Serial to Parallel: Converts the serial bit stream from the Manchester decoder to the required MII parallel format. PMD Sublayer: Transmits and receives signals compliant with IEEE 802.3, Section 14. Line Transmitter and Line Receiver: These analog blocks allow the EPHY to drive and receive data from the 10BASE-T media.
12.4.4
100BASE-TX
100BASE-TX specifies operation over two pairs of category 5 unshielded twisted-pair cable (UTP). The EPHY implementation includes the physical coding sublayer (PCS), the physical medium attachment (PMA), and the physical medium dependent (PMD) sublayer. The block diagram for 100BASE-TX operation is shown in Figure 12-22.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 387
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
PCS TX 4B5B ENCODER PARALLEL TO SERIAL
PMA
PMD MLT3 ENCODER SLOPE CONTROL LINE DRIVER
SCRAMBLER
MII CARRIER SENSE PMA
DIGITAL ANALOG LOOPBACK LOOPBACK (bit 0.14) (bit 18.4)
LINK MONITOR
RX
4B5B DECODER
SERIAL TO PARALLEL AND SYMBOL ALIGNMENT
DESCRAMBLER
MLT3 DECODER
EQUALIZER AND TIMING RECOVERY
BASELINE WANDER
Figure 12-22. 100BASE-TX Block Diagram
12.4.4.1
12.4.4.1.1
Sublayers
PCS Sublayer
The PCS sublayer is the MII interface that provides a uniform interface to the reconciliation sublayer. The services provided by the PCS include: * Encoding/decoding of MII data nibbles to/from 5-bit code-groups (4B/5B) * Carrier sense and collision indications * Serialization/deserialization of code-groups for transmission/reception on the PMA * Mapping of transmit, receive, carrier sense, and collision detection between the MII and the underlying PMA Serial to Parallel and Symbol Alignment: This block looks for the occurrence of the JK symbol to align the serial bit stream and convert it to a parallel format. Carrier Sense: In full-duplex mode, carrier sense is only asserted while the receive channel is active. The carrier sense examines the received data bit stream looking for the SSD, the JK symbol pair. In the idle state, IDLE symbols (all logic ones) will be received. If the first 5-bit symbols received after an idle stream forms the J symbol (11000) it asserts the CRS signal. At this point the second symbol is checked to confirm the K symbol (10001). If successful, the following aligned data (symbols) are presented to the 4B/5B decoder. If the JK pair is not confirmed, the false carrier detect is asserted and the idle state is re-entered. Carrier sense is de-asserted when the ESD (end-of-stream) delimiter, the TR symbol pair, is found, or when an idle state is detected. In half-duplex, CRS is also asserted on transmit. Parallel to Serial: This block takes parallel data and converts it to serial format.
MC9S12NE64 Data Sheet, Rev 1.0 388 Freescale Semiconductor
Functional Description
4B/5B Encoder/Decoder: The 4B/5B encoder converts the 4-bit nibbles from the reconciliation sublayer to a 5-bit code group. 12.4.4.1.2 PMA Sublayer
The PMA provides medium-independent means for the PCS and other bit-oriented clients (e.g., repeaters) to support the use of a range of physical media. For 100BASE-TX the PMA performs these functions: * Mapping of transmit and receive code-bits between the PMA's client and the underlying PMD * Generating a control signal indicating the availability of the PMD to a PCS or other client * Synchronization with the auto-negotiation function * Generating indications of carrier activity and carrier errors from the PMD * Recovery of clock from the NRZI data supplied by the PMD 12.4.4.1.3 PMD Sublayer
For 100BASE-TX, the ANSI X3.263: 199X (TP-PMD) standard is used. These signalling standards, called PMD sublayers, define 125 Mbps, full-duplex signalling systems that use STP and UTP wiring. Scrambler/De-scrambler: The scrambler and de-scrambler used in EPHY meet the ANSI Standard X3.263-1995 FDDI TP-PMD. The purpose of the scrambler is to randomize the 125 Mbps data on transmission resulting in a reduction of the peak amplitudes in the frequency spectrum. The de-scrambler restores the received 5-bit code groups to their unscrambled values. The scrambler input data (plaintext) is encoded by modulo 2 addition of a key stream to produce a ciphertext bit stream. The key stream is a periodic sequence of 2047 bits generated by the recursive linear function X[n] = X[n-11] + X[n-9] (modulo 2). If not transmitting data, the scrambler encodes and transmits idles. This allows a pattern to use by the de-scrambler to synchronize and decode the scrambled data. The implementation of the scrambler and de-scrambler is as shown in Appendix G of the ANSI Standard X3.263-1995. For test, the scrambler can be bypassed by setting bit 18.5. Scrambler bypass mode is a special type of interface for 100BASE-TX operation that bypasses the scrambler and de-scrambler operation. This mode is typically used for test so that input and output test vectors match. In this mode, idles are not sent and the MAC must provide idles. MLT-3 Encoder/Decoder: An MLT-3 encoder is used in the transmit path to convert NRZ bit stream data from the PMA sublayer into a three-level code. This encoding results in a reduction in the energy over the critical frequency range. The MLT-3 decoder converts the received three-level code back to an NRZ bit stream. Baseline Wander: The use of the scrambler and MLT-3 encoding can cause long run lengths of 0s and 1s that can produce a DC component. The DC component cannot be transmitted through the isolation transformers and results in baseline wander. Baseline wander reduces noise immunity because the base line moves nearer to either the positive or negative signal comparators. To correct for this EPHY uses DC
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 389
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
restoration to restore the lost DC component of the recovered digital data to correct the baseline wander problem. Timing Recovery: The timing recovery block locks onto the incoming data stream, extracts the embedded clock, and presents the data synchronized to the recovered clock. In the event that the receive path is unable to converge to the receive signal, it resets the MSE-good (bit 25.15) signal. The clock synthesizer provides a center frequency reference for operation of the clock recovery circuit in the absence of data. Adaptive Equalizer: At a data rate of 125 Mbps, the cable introduces significant distortion due to high frequency roll off and phase shift. The high frequency loss is mainly due to skin effect, which causes the conductor resistance to rise as the square of the frequency. The adaptive equalizer will compensate for signal amplitude and phase distortion incurred from transmitting with different cable lengths. Loopback: If asserted by bit 0.14, data encoded by the MLT3 encoder block is looped back to the MLT3 decoder block while the transmit and receive paths are disconnected from the media. A second loopback mode for 100BASE-TX is available by setting bit 18.13 (MII loopback) to a logical 1. This loopback mode takes the MII transmit data and loops it directly back to the MII receive pins. Again, the transmit and receive paths are disconnected from the media. MII loopback has precedence over the digital loopback if both are enabled at the same time. A third loopback mode is available by setting bit 18.4 high. This analog loopback mode takes the MLT3 encoded data and loops it back through the base line wander and analog receive circuits. Line Transmitter and Line Receiver: These analog blocks allow EPHY to drive and receive data to/from the 100BASE-TX media. The transmitter is designed to drive a 100- UTP cable. Link Monitor: The link monitor process is responsible for determining whether the underlying receive channel is providing reliable data. If a failure is found, normal operation will be disabled. As specified in the IEEE 802.3 standard, the link is operating reliably if a signal is detected for a period of 330 s. Far End Fault: While the auto-negotiation function is disabled, this function is used to exchange fault information between the PHY and the link partner.
12.4.5
Low Power Modes
There are several reduced power configurations available for the EPHY.
12.4.5.1
Stop Mode
If the MCU executes a STOP instruction, the EPHY will be powered down and all internal MII registers reset to their default state. Upon exiting stop mode, the EPHY will exit the power-down state and latch the values previously written to the EPHYCTL0 and EPHYCTL1 registers. The MII registers will have to be re-initialized after the start-up delay (tStart-up) has expired.
MC9S12NE64 Data Sheet, Rev 1.0 390 Freescale Semiconductor
Functional Description
12.4.5.2
Wait Mode
If the MCU executes a WAIT instruction with the EPHYWAI bit set, the EPHY will be powered down and all internal MII registers reset to their default state. Upon exiting STOP mode the EPHY will exit the power-down state and latch the values previously written to the EPHYCTL0 and EPHYCTL1 registers. The MII registers must be re-initialized after the start-up delay (tStart-up) has expired.
12.4.5.3
MII Power Down
This mode disconnects the PHY from the network interface (three-state receiver and driver pins). Setting bit 0.11 of the port enters this mode. In this mode, the management interface is accessible but all internal chip functions are in a zero power state. In this mode all analog blocks except the PLL clock generator and band gap reference are in low power mode. All digital blocks except the MDIO interface and management registers are inactive.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 391
Chapter 12 Ethernet Physical Transceiver (EPHY) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 392 Freescale Semiconductor
Chapter 13 Output Voltage Regulator (VREG_PHY) Block Description
13.1 Introduction
Block VREG_PHY is an output voltage regulator providing five separate 2.5 V (typ) supplies differing in the amount of current that can be sourced. The regulator input voltage is 3.3 V 5%.
13.1.1
Features
The block VREG_PHY includes these distinctive features: * Five parallel, linear voltage regulators -- Bandgap reference * Power On Reset (POR) * Low Voltage Reset (LVR)
13.1.2
Modes of Operation
There are three modes VREG_PHY can operate in: * Full Performance Mode (FPM) (CPU is not in stop mode) The regulator is active, providing the nominal supply voltage of 2.5 V with full current sourcing capability at all outputs. Features LVR (low voltage reset) and POR (power-on reset) are available. * Reduced Power Mode (RPM) (CPU is in stop mode) The purpose is to reduce power consumption of the device. The output voltage might degrade to a lower value than in full performance mode, additionally the current sourcing capability is substantially reduced. Only the POR is available in this mode, LVR are disabled. * Shutdown Mode Controlled by VREGEN (see device overview chapter for connectivity of VREGEN). This mode is characterized by minimum power consumption. The regulator outputs are in a high impedance state, only the POR feature is available, LVR is disabled. This mode must be used to disable the MCU internal regulator VREG_PHY; i.e., to bypass the VREG_PHY to use external supplies.
13.1.3
Block Diagram
Figure 13-1 shows the function principle of VREG_PHY by means of a block diagram. The regulator core VREG_PHY consists of five parallel subblocks, providing five independent output voltages.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 393
Chapter 13 Output Voltage Regulator (VREG_PHY) Block Description
VDDRAUX3 VDDRAUX2 VDDRAUX1
REG5 REG4 REG3
VDDAUX3 VSSAUX3 VDDAUX2 VSSAUX2 VDDAUX1 VSSAUX1
VDDPLL REG VDDR VSSR VDDA VSSA
REG2
VSSPLL VDD
REG1
LVR
LVR
POR
POR
VSS
VREGEN
CTRL LVI
REG: Regulator Core CTRL: Regulator Control LVR: Low Voltage Reset POR: Power-on Reset PIN Figure 13-1. VREG_PHY Block Diagram
13.2
Signal Description
Because the VREG_PHY is a voltage regulator providing the MCU internal power supply voltages, most signals are power supply signals connected to pads. Table 13-1 shows all signals of VREG_PHY associated with pins.
MC9S12NE64 Data Sheet, Rev 1.0 394 Freescale Semiconductor
Signal Description
Table 13-1. VREG_PHY -- Signal Properties
Name VDDR VDDRAUX1 VDDRAUX2 VDDRAUX3 VSSR VDDA VSSA VDD VSS VDDPLL VSSPLL VDDAUX1 VSSAUX1 VDDAUX2 VSSAUX2 VDDAUX3 VSSAUX3 VREGEN (optional) Port -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Function VREG_PHY power input (positive supply) VREG_PHY power input (positive supply) VREG_PHY power input (positive supply) VREG_PHY power input (positive supply) VREG_PHY power input (ground) VREG_PHY quiet input (positive supply) VREG_PHY quiet input (ground) VREG_PHY primary output (positive supply) VREG_PHY primary output (ground) VREG_PHY secondary output (positive supply) VREG_PHY secondary output (ground) VREG_PHY third output (positive supply) VREG_PHY third output (ground) VREG_PHY fourth output (positive supply) VREG_PHY fourth output (ground) VREG_PHY fifth output (positive supply) VREG_PHY fifth output (ground) VREG_PHY (Optional) Regulator Enable Reset State -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Pull Up -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
Check device overview chapter for connectivity of the signals.
13.2.1
VDDR,VDDRAUX1,2,3, VSSR -- Regulator Power Inputs
Signal VDDR/VDDRAUX1,2,3 are the power inputs of VREG_PHY. All currents sourced into the regulator loads flow through these pins. An MCU external decoupling capacitor (100 nF...220 nF, X7R ceramic) between VDDR/VDDRAUX1,2,3 and VSSR can smoothen ripple on VDDR/VDDRAUX1,2,3. If the regulator is bypassed, VDDR must be tied to ground. In shutdown mode, pin VDDR must also be tied to ground on devices without VREGEN pin.
13.2.2
VDDA, VSSA -- Regulator Reference Supply
Signals VDDA/VSSA, which are supposed to be relatively quiet, are used to supply the analog parts of the regulator. Internal precision reference circuits are supplied from these signals. An MCU external decoupling capacitor (100 nF...220 nF, X7R ceramic) between VDDA and VSSA can further improve the quality of this supply.
13.2.3
VDD, VSS -- Regulator Output1 (Core Logic)
Signals VDD/VSS are the primary outputs of VREG_PHY that provide the power supply for the core logic. These signals are connected to device pins to allow external decoupling capacitors (100 nF...220 nF, X7R ceramic). In shutdown mode, an external supply at VDD/VSS can replace the voltage regulator.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 395
Chapter 13 Output Voltage Regulator (VREG_PHY) Block Description
13.2.4
VDDPLL, VSSPLL -- Regulator Output2 (PLL)
Signals VDDPLL/VSSPLL are the secondary outputs of VREG_PHY that provide the power supply for the PLL and oscillator. These signals are connected to device pins to allow external decoupling capacitors (100 nF...220 nF, X7R ceramic). In shutdown mode, an external supply at VDDPLL/VSSPLL can replace the voltage regulator.
13.2.5
VDDAUX1,2,3, VSSAUX1,2,3 -- Regulator Output3,4,5
Signals VDDAUX1,2,3/VSSAUX1,2,3 are the auxiliary outputs of VREG_PHY. These signals are connected to device pins to allow external decoupling capacitors (100 nF...220 nF, X7R ceramic). In shutdown mode an external supply at VDDAUX1,2,3/VSSAUX1,2,3 can replace the voltage regulator.
13.2.6
VREGEN -- Optional Regulator Enable
This optional signal is used to shutdown VREG_PHY. In that case VDD/VSS and VDDPLL/VSSPLL must be provided externally. shutdown mode is entered with VREGEN being low. If VREGEN is high, the VREG_PHY is either in full performance mode or in reduced power mode. For the connectivity of VREGEN, see the device overview chapter. NOTE Switching from FPM or RPM to shutdown of VREG_PHY and vice versa is not supported while the MCU is powered.
13.3
Memory Map and Register Descriptions
VREG_PHY does not contain any CPU accessible registers.
13.4
Functional Description
Block VREG_PHY is a voltage regulator as depicted in Figure 13-1. The regulator functional elements are the regulator core (REG), a power-on reset module (POR) and a low voltage reset module (LVR). There is also the regulator control block (CTRL), which represents the interface to the digital core logic but also manages the operating modes of VREG_PHY.
13.4.1
REG -- Regulator Core
VREG_PHY, respectively its regulator core has five parallel, independent regulation loops (REG1 to REG5) that differ only in the amount of current that can be sourced to the connected loads. Therefore only REG1, providing the supply at VDD/VSS, is explained. The principle is also valid for REG2 to REG5. The regulator is a linear series regulator with a bandgap reference in its full performance mode and a voltage clamp in reduced power mode. All load currents flow from input VDDR or VDDRAUX1,2,3 to VSS or VSSPLL or VSSAUX1,2,3, the reference circuits are connected to VDDA and VSSA.
MC9S12NE64 Data Sheet, Rev 1.0 396 Freescale Semiconductor
Resets
13.4.1.1
Full Performance Mode
In full performance mode, a fraction of the output voltage (VDD) and the bandgap reference voltage are fed to an operational amplifier. The amplified input voltage difference controls the gate of an output driver, which basically is a large NMOS transistor connected to the output.
13.4.1.2
Reduced Power Mode
In reduced power mode the driver gate is connected to a buffered fraction of the input voltage (VDDR). The operational amplifier and the bandgap are disabled to reduce power consumption.
13.4.2
POR -- Power-On Reset
This functional block monitors output VDD. If VDD is below VPORD, signal POR is high; if it exceeds VPORD, the signal goes low. The transition to low forces the CPU in the power-on sequence. Due to its role during MCU power-up, this module must be active in all operating modes of VREG_PHY.
13.4.3
LVR -- Low Voltage Reset
Block LVR monitors the primary output voltage VDD. If it drops below the assertion level (VLVRA), signal LVR asserts and, when rising above the deassertion level (VLVRD), signal LVR negates again. The LVR function is available only in full performance mode.
13.4.4
CTRL -- Regulator Control
This part contains the register block of VREG_PHY and further digital functionality needed to control the operating modes. CTRL also represents the interface to the digital core logic.
13.5
Resets
This section describes how VREG_PHY controls the reset of the MCU. Possible reset sources are listed in Table 13-2.
Table 13-2. VREG_PHY -- Reset Sources
Reset Source Power-on Reset Low Voltage Reset Local Enable always active available only in full performance mode
13.5.1
Power-On Reset
During MCU power-up, the digital core might not work if its supply voltage VDD is below the POR deassertion level (VPORD). Therefore signal POR, which forces the other blocks of the device into reset, is kept high until VDD exceeds VPORD. Then POR becomes low and the reset generator of the device continues the start-up sequence. The power-on reset is active in all operation modes of VREG_PHY.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 397
Chapter 13 Output Voltage Regulator (VREG_PHY) Block Description
13.5.2
Low Voltage Reset
For details on low voltage reset see Section 13.4.3, "LVR -- Low Voltage Reset."
13.6
Interrupts
VREG_PHY does not generate any interrupts.
MC9S12NE64 Data Sheet, Rev 1.0 398 Freescale Semiconductor
Chapter 14 Interrupt (INT) Block Description
14.1 Introduction
This section describes the functionality of the Interrupt (INT) sub-block of the S12 core platform. A block diagram of the interrupt sub-block is shown in Figure 14-1.
INT
WRITE DATA BUS
HPRIO (OPTIONAL)
HIGHEST PRIORITY I-INTERRUPT
INTERRUPTS XMASK IMASK INTERRUPT INPUT REGISTERS AND CONTROL REGISTERS READ DATA BUS
WAKEUP HPRIO VECTOR
QUALIFIED INTERRUPTS
INTERRUPT PENDING RESET FLAGS VECTOR REQUEST PRIORITY DECODER VECTOR ADDRESS
Figure 14-1. Interrupt Block Diagram
The interrupt sub-block decodes the priority of all system exception requests and provides the applicable vector for processing the exception. The INT supports I-bit maskable and X-bit maskable interrupts, a nonmaskable unimplemented opcode trap, a nonmaskable software interrupt (SWI) or background debug
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 399
Chapter 14 Interrupt (INT) Block Description
mode request, and three system reset vector requests. All interrupt related exception requests are handled by the Interrupt sub-block (INT).
14.1.1
Features
The INT includes these features: * Provides two to 122 I-bit maskable interrupt vectors ($FF00-$FFF2) * Provides one X-bit maskable interrupt vector ($FFF4) * Provides a nonmaskable software interrupt (SWI) or background debug mode request vector ($FFF6) * Provides a nonmaskable unimplemented opcode trap (TRAP) vector ($FFF8) * Provides three system reset vectors ($FFFA-$FFFE) (reset, CMR, and COP) * Determines the appropriate vector and drives it onto the address bus at the appropriate time * Signals the CPU that interrupts are pending * Provides control registers which allow testing of interrupts * Provides additional input signals which prevents requests for servicing I and X interrupts * Wakes the system from stop or wait mode when an appropriate interrupt occurs or whenever XIRQ is active, even if XIRQ is masked * Provides asynchronous path for all I and X interrupts, ($FF00-$FFF4) * (Optional) Selects and stores the highest priority I interrupt based on the value written into the HPRIO register
14.1.2
Modes of Operation
The functionality of the INT sub-block in various modes of operation is discussed in the subsections that follow.
14.1.2.1
Normal Operation
The INT operates the same in all normal modes of operation.
14.1.2.2
Special Operation
Interrupts may be tested in special modes through the use of the interrupt test registers.
14.1.2.3
Emulation Modes
The INT operates the same in emulation modes as in normal modes.
14.1.3
Low-Power Options
The INT does not contain any user-controlled options for reducing power consumption. The operation of the INT in low-power modes is discussed in the following subsections.
MC9S12NE64 Data Sheet, Rev 1.0 400 Freescale Semiconductor
External Signal Description
14.1.3.1
Run Mode
The INT does not contain any options for reducing power in run mode.
14.1.3.2
Wait Mode
Clocks to the INT can be shut off during system wait mode and the asynchronous interrupt path will be used to generate the wake-up signal upon recognition of a valid interrupt or any XIRQ request.
14.1.3.3
Stop Mode
Clocks to the INT can be shut off during system stop mode and the asynchronous interrupt path will be used to generate the wake-up signal upon recognition of a valid interrupt or any XIRQ request.
14.2
External Signal Description
Most interfacing with the Interrupt sub-block is done within the core. However, the interrupt does receive direct input from the multiplexed external bus interface (MEBI) sub-block of the core for the IRQ and XIRQ pin data.
14.3
Memory Map and Register Descriptions
A summary of the registers associated with the Interrupt sub-block is shown in Table 14-2. Detailed descriptions of the registers and associated bits are given in the subsections that follow.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 401
Chapter 14 Interrupt (INT) Block Description
14.3.1
Module Memory Map
Table 14-1. INT Module Memory Map Address Offset
$_15 $_16 $_1F
Use
Interrupt Test Control Register (ITCR) Interrupt Test Registers (ITEST) Highest Priority Interrupt (Optional) (HPRIO)
Access
R/W R/W R/W
Table 14-2. Interrupt Register Summary
Address1 Name Bit 7 6 5 4 3 2 1 Bit 0
Read $0015 ITCR Write
0
0
0 WRTINT ADR3 ADR2 ADR1 ADR0
Read $0016 ITEST Write INTE INTC INTA INT8 INT6 INT4 INT2 INT0
Read $001F HPRIO Write PSEL7 PSEL6 PSEL5 PSEL4 PSEL3 PSEL2 PSEL1
0
= Unimplemented
1
Starting address location affected by INITRG register setting.
14.3.2
14.3.2.1
Register Descriptions
Interrupt Test Control Register
Address $00151
1 Starting address location affected by INITRG register setting.
7 R W Reset: 0 0
6 0 0
5 0 0
4
3
2
1
0
WRTINT
0
ADR3
1
ADR2
1
ADR1
1
ADR0
1
= Unimplemented or Reserved
Figure 14-2. Interrupt Test Control Register (ITCR)
Read: see individual bit descriptions Write: see individual bit descriptions
MC9S12NE64 Data Sheet, Rev 1.0 402 Freescale Semiconductor
Memory Map and Register Descriptions
WRTINT -- Write to the Interrupt Test Registers Read: anytime Write: only in special modes and with I-bit mask and X-bit mask set. 1 = Disconnect the interrupt inputs from the priority decoder and use the values written into the ITEST registers instead. 0 = Disables writes to the test registers; reads of the test registers will return the state of the interrupt inputs. NOTE Any interrupts which are pending at the time that WRTINT is set will remain until they are overwritten. ADR3-ADR0 -- Test Register Select Bits Read: anytime Write: anytime These bits determine which test register is selected on a read or write. The hexadecimal value written here will be the same as the upper nibble of the lower byte of the vector selects. That is, an "F" written into ADR3-ADR0 will select vectors $FFFE-$FFF0 while a "7" written to ADR3-ADR0 will select vectors $FF7E-$FF70.
14.3.2.2
Interrupt Test Registers
Address $00161
1 Starting address location affected by INITRG register setting.
7 R W Reset:
6
5
4
3
2
1
0
INTE
0
INTC
0
INTA
0
INT8
0
INT6
0
INT4
0
INT2
0
INT0
0
Figure 14-3. Interrupt TEST Registers (ITEST)
Read: Only in special modes. Reads will return either the state of the interrupt inputs of the interrupt sub-block (WRTINT = 0) or the values written into the TEST registers (WRTINT = 1). Reads will always return 0es in normal modes. Write: Only in special modes and with WRTINT = 1 and CCR I mask = 1. INTE-INT0 -- Interrupt TEST Bits These registers are used in special modes for testing the interrupt logic and priority independent of the system configuration. Each bit is used to force a specific interrupt vector by writing it to a logic 1 state. Bits are named INTE through INT0 to indicate vectors $FFxE through $FFx0. These bits can be written only in special modes and only with the WRTINT bit set (logic 1) in the interrupt test control register (ITCR). In addition, I interrupts must be masked using the I bit in the CCR. In this state, the interrupt input lines to the Interrupt sub-block will be disconnected and interrupt requests will be generated only by this register. These bits can also be read in special modes to view that an interrupt requested by a system block (such as a peripheral block) has reached the INT module.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 403
Chapter 14 Interrupt (INT) Block Description
There is a test register implemented for every eight interrupts in the overall system. All of the test registers share the same address and are individually selected using the value stored in the ADR3-ADR0 bits of the interrupt test control register (ITCR). NOTE When ADR3-ADR0 have the value of $F, only bits 2-0 in the ITEST register will be accessible. That is, vectors higher than $FFF4 cannot be tested using the test registers and bits 7-3 will always read as a logic 0. If ADR3-ADR0 point to an unimplemented test register, writes will have no effect and reads will always return a logic 0 value.
14.3.2.3
Highest Priority I Interrupt (Optional)
Address $001F1
1 Starting address location affected by INITRG register setting.
7 R W Reset:
6
5
4
3
2
1
0 0
PSEL7
1
PSEL6
1
PSEL5
1
PSEL4
1
PSEL3
0
PSEL2
0
PSEL1
1
0
= Unimplemented or Reserved
Figure 14-4. Highest Priority I Interrupt Register (HPRIO)
Read: anytime Write: only if I mask in CCR = 1 PSEL7-PSEL1 -- Highest Priority I Interrupt Select Bits The state of these bits determines which I-bit maskable interrupt will be promoted to highest priority (of the I-bit maskable interrupts). To promote an interrupt, the user writes the least significant byte of the associated interrupt vector address to this register. If an unimplemented vector address or a non I-bit masked vector address (value higher than $F2) is written, IRQ ($FFF2) will be the default highest priority interrupt.
14.4
Functional Description
The interrupt sub-block processes all exception requests made by the CPU. These exceptions include interrupt vector requests and reset vector requests. Each of these exception types and their overall priority level is discussed in the subsections below.
14.4.1
Interrupt Exception Requests
As shown in the block diagram in Figure 14-1, the INT contains a register block to provide interrupt status and control, an optional highest priority I interrupt (HPRIO) block, and a priority decoder to evaluate whether pending interrupts are valid and assess their priority.
MC9S12NE64 Data Sheet, Rev 1.0 404 Freescale Semiconductor
Functional Description
14.4.1.1
Interrupt Registers
The INT registers are accessible only in special modes of operation and function as described in Section 14.3.2.1, "Interrupt Test Control Register," and Section 14.3.2.2, "Interrupt Test Registers," previously.
14.4.1.2
Highest Priority I-Bit Maskable Interrupt
When the optional HPRIO block is implemented, the user is allowed to promote a single I-bit maskable interrupt to be the highest priority I interrupt. The HPRIO evaluates all interrupt exception requests and passes the HPRIO vector to the priority decoder if the highest priority I interrupt is active. RTI replaces the promoted interrupt source.
14.4.1.3
Interrupt Priority Decoder
The priority decoder evaluates all interrupts pending and determines their validity and priority. When the CPU requests an interrupt vector, the decoder will provide the vector for the highest priority interrupt request. Because the vector is not supplied until the CPU requests it, it is possible that a higher priority interrupt request could override the original exception that caused the CPU to request the vector. In this case, the CPU will receive the highest priority vector and the system will process this exception instead of the original request. NOTE Care must be taken to ensure that all exception requests remain active until the system begins execution of the applicable service routine; otherwise, the exception request may not be processed. If for any reason the interrupt source is unknown (e.g., an interrupt request becomes inactive after the interrupt has been recognized but prior to the vector request), the vector address will default to that of the last valid interrupt that existed during the particular interrupt sequence. If the CPU requests an interrupt vector when there has never been a pending interrupt request, the INT will provide the software interrupt (SWI) vector address.
14.4.2
Reset Exception Requests
The INT supports three system reset exception request types: normal system reset or power-on-reset request, crystal monitor reset request, and COP Watchdog reset request. The type of reset exception request must be decoded by the system and the proper request made to the core. The INT will then provide the service routine address for the type of reset requested.
14.4.3
Exception Priority
The priority (from highest to lowest) and address of all exception vectors issued by the INT upon request by the CPU is shown in Table 14-3.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 405
Chapter 14 Interrupt (INT) Block Description
Table 14-3. Exception Vector Map and Priority
Vector Address $FFFE-$FFFF $FFFC-$FFFD $FFFA-$FFFB $FFF8-$FFF9 $FFF6-$FFF7 $FFF4-$FFF5 $FFF2-$FFF3 $FFF0-$FF00 System reset Crystal monitor reset COP reset Unimplemented opcode trap Software interrupt instruction (SWI) or BDM vector request XIRQ signal IRQ signal Device-specific I-bit maskable interrupt sources (priority in descending order) Source
MC9S12NE64 Data Sheet, Rev 1.0 406 Freescale Semiconductor
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.1 Introduction
This section describes the functionality of the multiplexed external bus interface (MEBI) sub-block of the S12 core platform. The functionality of the module is closely coupled with the S12 CPU and the memory map controller (MMC) sub-blocks. Figure 15-1 is a block diagram of the MEBI. In Figure 15-1, the signals on the right hand side represent pins that are accessible externally. On some chips, these may not all be bonded out.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 407
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
altab[15:0]
16 16 8
8 PORT A DDR A 8 PA7-PA0/A15-A8/ D15-D8/D7-D0
8 8 16 16 EXTERNAL DATA BUS INTERFACE 8 CONTROL 8
altwdb[15:0] altrdb[15:0]
8 PORT B DDR B 8 PB7-PB0/A7-A0/D7-D0
8
db[15:0] clock reg_select reset mdrste
16
16
DATA REGISTERS
16 ab[15:0] int_mem_sel rw sz8 cpu_pipe[1:0] altsz8 altrw irq_t4 xirq_t4 EXTERNAL BUS CONTROL 2 DDR E PORT E 6 PE7-PE2/(BUS SIGNALS)
SYNC/CAPTURE
PE1/IRQ PE0/XIRQ
BKGD PIN INTERFACE
BKGD/...
extbdm
mmccs.../mmcxa...
DDR K
PORT K CONTROL
PORT K
8
8
8
PK7-PK0/CS.../XA...
Figure 15-1. MEBI Block Diagram
The MEBI sub-block of the core serves to provide access and/or visibility to internal core data manipulation operations including timing reference information at the external boundary of the core and/or system. Depending upon the system operating mode and the state of bits within the control registers of the MEBI, the internal 16-bit read and write data operations will be represented in 8-bit or 16-bit accesses externally. Using control information from other blocks within the system, the MEBI will determine the appropriate type of data access to be generated.
15.1.1
Features
The block name includes these distinctive features: * External bus controller with four 8-bit ports A,B, E, and K
MC9S12NE64 Data Sheet, Rev 1.0 408 Freescale Semiconductor
Introduction
* * * * * * * *
Data and data direction registers for ports A, B, E, and K when used as general-purpose I/O Control register to enable/disable alternate functions on ports E and K Mode control register Control register to enable/disable pull-ups on ports A, B, E, and K Control register to enable/disable reduced output drive on ports A, B, E, and K Control register to configure external clock behavior Control register to configure IRQ pin operation Logic to capture and synchronize external interrupt pin inputs
15.1.2
*
Modes of Operation
*
*
*
*
*
*
*
Normal expanded wide mode Ports A and B are configured as a 16-bit multiplexed address and data bus and port E provides bus control and status signals. This mode allows 16-bit external memory and peripheral devices to be interfaced to the system. Normal expanded narrow mode Ports A and B are configured as a 16-bit address bus and port A is multiplexed with 8-bit data. Port E provides bus control and status signals. This mode allows 8-bit external memory and peripheral devices to be interfaced to the system. Normal single-chip mode There is no external expansion bus in this mode. The processor program is executed from internal memory. Ports A, B, K, and most of E are available as general-purpose I/O. Special single-chip mode This mode is generally used for debugging single-chip operation, boot-strapping, or security related operations. The active background mode is in control of CPU execution and BDM firmware is waiting for additional serial commands through the BKGD pin. There is no external expansion bus after reset in this mode. Emulation expanded wide mode Developers use this mode for emulation systems in which the users target application is normal expanded wide mode. Emulation expanded narrow mode Developers use this mode for emulation systems in which the users target application is normal expanded narrow mode. Special test mode Ports A and B are configured as a 16-bit multiplexed address and data bus and port E provides bus control and status signals. In special test mode, the write protection of many control bits is lifted so that they can be thoroughly tested without needing to go through reset. Special peripheral mode This mode is intended for Freescale Semiconductor factory testing of the system. The CPU is inactive and an external (tester) bus master drives address, data, and bus control signals.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 409
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.2
External Signal Description
In typical implementations, the MEBI sub-block of the core interfaces directly with external system pins. Some pins may not be bonded out in all implementations. Table 15-1 outlines the pin names and functions and gives a brief description of their operation reset state of these pins and associated pull-ups or pull-downs is dependent on the mode of operation and on the integration of this block at the chip level (chip dependent).
.
Table 15-1. External System Pins Associated With MEBI (Sheet 1 of 2)
Pin Name BKGD/MODC/ TAGHI Pin Functions MODC BKGD TAGHI PA7/A15/D15/D7 thru PA0/A8/D8/D0 PA7-PA0 A15-A8 Description At the rising edge on RESET, the state of this pin is registered into the MODC bit to set the mode. (This pin always has an internal pullup.) Pseudo open-drain communication pin for the single-wire background debug mode. There is an internal pull-up resistor on this pin. When instruction tagging is on, a 0 at the falling edge of E tags the high half of the instruction word being read into the instruction queue. General-purpose I/O pins, see PORTA and DDRA registers. High-order address lines multiplexed during ECLK low. Outputs except in special peripheral mode where they are inputs from an external tester system. High-order bidirectional data lines multiplexed during ECLK high in expanded wide modes, special peripheral mode, and visible internal accesses (IVIS = 1) in emulation expanded narrow mode. Direction of data transfer is generally indicated by R/W. Alternate high-order and low-order bytes of the bidirectional data lines multiplexed during ECLK high in expanded narrow modes and narrow accesses in wide modes. Direction of data transfer is generally indicated by R/W. General-purpose I/O pins, see PORTB and DDRB registers. Low-order address lines multiplexed during ECLK low. Outputs except in special peripheral mode where they are inputs from an external tester system. Low-order bidirectional data lines multiplexed during ECLK high in expanded wide modes, special peripheral mode, and visible internal accesses (with IVIS = 1) in emulation expanded narrow mode. Direction of data transfer is generally indicated by R/W. General-purpose I/O pin, see PORTE and DDRE registers. CPU No Access output. Indicates whether the current cycle is a free cycle. Only available in expanded modes. At the rising edge of RESET, the state of this pin is registered into the MODB bit to set the mode. General-purpose I/O pin, see PORTE and DDRE registers. Instruction pipe status bit 1, enabled by PIPOE bit in PEAR. System Clock Test Output. Only available in special modes. PIPOE = 1 overrides this function. The enable for this function is in the clock module.
D15-D8
D15/D7 thru D8/D0 PB7/A7/D7 thru PB0/A0/D0 PB7-PB0 A7-A0
D7-D0
PE7/NOACC
PE7 NOACC
PE6/IPIPE1/ MODB/CLKTO
MODB PE6 IPIPE1 CLKTO
MC9S12NE64 Data Sheet, Rev 1.0 410 Freescale Semiconductor
Memory Map and Register Descriptions
Table 15-1. External System Pins Associated With MEBI (Sheet 2 of 2)
Pin Name PE5/IPIPE0/MODA Pin Functions MODA PE5 IPIPE0 PE4/ECLK PE4 ECLK Description At the rising edge on RESET, the state of this pin is registered into the MODA bit to set the mode. General-purpose I/O pin, see PORTE and DDRE registers. Instruction pipe status bit 0, enabled by PIPOE bit in PEAR. General-purpose I/O pin, see PORTE and DDRE registers. Bus timing reference clock, can operate as a free-running clock at the system clock rate or to produce one low-high clock per visible access, with the high period stretched for slow accesses. ECLK is controlled by the NECLK bit in PEAR, the IVIS bit in MODE, and the ESTR bit in EBICTL. General-purpose I/O pin, see PORTE and DDRE registers. Low strobe bar, 0 indicates valid data on D7-D0. In special peripheral mode, this pin is an input indicating the size of the data transfer (0 = 16-bit; 1 = 8-bit). In expanded wide mode or emulation narrow modes, when instruction tagging is on and low strobe is enabled, a 0 at the falling edge of E tags the low half of the instruction word being read into the instruction queue. General-purpose I/O pin, see PORTE and DDRE registers. Read/write, indicates the direction of internal data transfers. This is an output except in special peripheral mode where it is an input. General-purpose input-only pin, can be read even if IRQ enabled. Maskable interrupt request, can be level sensitive or edge sensitive. General-purpose input-only pin. Non-maskable interrupt input. General-purpose I/O pin, see PORTK and DDRK registers. Emulation chip select General-purpose I/O pin, see PORTK and DDRK registers. External data chip select General-purpose I/O pins, see PORTK and DDRK registers. Memory expansion addresses
PE3/LSTRB/ TAGLO
PE3 LSTRB SZ8 TAGLO
PE2/R/W
PE2 R/W
PE1/IRQ
PE1 IRQ
PE0/XIRQ
PE0 XIRQ
PK7/ECS
PK7 ECS
PK6/XCS
PK6 XCS
PK5/X19 thru PK0/X14
PK5-PK0 X19-X14
Detailed descriptions of these pins can be found in the device overview chapter.
15.3
Memory Map and Register Descriptions
A summary of the registers associated with the MEBI sub-block is shown in Table 15-3. Detailed descriptions of the registers and bits are given in the subsections that follow. On most chips the registers are mappable. Therefore, the upper bits may not be all 0s as shown in the table and descriptions.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 411
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.3.1
Module Memory Map
Table 15-2. MEBI Module Memory Map Address Offset
$_00 $_01 $_02 $_03 $_04 $_05 $_06 $_07 $_08 $_09 $_0A $_0B $_0C $_0D $_0E $_0F $_1E $_032 $_033
Use
Port A Data Register (PORTA) Port B Data Register (PORTB) Data Direction Register A (DDRA) Data Direction Register B (DDRB) Reserved Reserved Reserved Reserved Port E Data Register (PORTE) Data Direction Register E (DDRE) Port E Assignment Register (PEAR) Mode Register (MODE) Pull-Up Control Register (PUCR) Reduced Drive Register (RDRIV) External Bus Interface Control Register (EBICTL) Reserved IRQ Control Register (IRQCR) Port K Data Register (PORTK) Data Direction Register K (DDRK)
Access
R/W R/W R/W R/W R R R R R/W R/W R/W R/W R/W R/W R/W R R/W R/W R/W
MC9S12NE64 Data Sheet, Rev 1.0 412 Freescale Semiconductor
Memory Map and Register Descriptions
Table 15-3. MEBI Register Map Summary
Address1 $0000 $0001 $0002 $0003 $0004 $0005 $0006 $0007 $0008 $0009 $000A $000B $000C $000D $000E $000F $001E $0032 $0033 Name PORTA PORTB DDRA DDRB Reserved Reserved Reserved Reserved PORTE DDRE PEAR MODE PUCR RDRIV EBICTL Reserved IRQCR PORTK DDRK Bit 7 Read Bit 7 Write Read Bit 7 Write Read Bit 7 Write Read Bit 7 Write Read 0 Write Read 0 Write Read 0 Write Read 0 Write Read Bit 7 Write Read Bit 7 Write Read NOACCE Write Read MODC Write Read PUPKE Write Read RDPK Write Read 0 Write Read 0 Write Read IRQE Write Read Bit 7 Write Read Bit 7 Write 6 6 6 6 6 0 0 0 0 6 6 0 MODB 0 0 0 0 IRQEN 6 6 5 5 5 5 5 0 0 0 0 5 5 PIPOE MODA 0 0 0 0 0 5 5 4 4 4 4 4 0 0 0 0 4 4 NECLK 0 PUPEE RDPE 0 0 0 4 4 3 3 3 3 3 0 0 0 0 3 3 LSTRE IVIS 0 0 0 0 0 3 3 2 2 2 2 2 0 0 0 0 2 2 RDWE 0 0 0 0 0 0 2 2 1 1 1 1 1 0 0 0 0 1 0 0 EMK PUPBE RDPB 0 0 0 1 1 Bit 0 Bit 0 Bit 0 Bit 0 Bit 0 0 0 0 0 Bit 0 0 0 EME PUPAE RDPA ESTR 0 0 Bit 0 Bit 0
= Unimplemented
1
Starting address location affected by INITRG register setting.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 413
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.3.2
15.3.2.1
Register Descriptions
Port A Data Register (PORTA)
Address $00001
1
Starting address location affected by INITRG register setting. BIT 7 6 6 -- PA6 AB/DB14 5 5 -- PA5 AB/DB13 4 4 -- PA4 AB/DB12 3 3 -- PA3 AB/DB11 2 2 -- PA2 AB/DB10 1 1 -- PA1 AB/DB9 AB9 and DB9/DB1 BIT 0 Bit 0 -- PA0 AB/DB8 AB8 and DB8/DB0
Read: Bit 7 Write: Reset: Single Chip: Expanded Wide, Emulation Narrow with IVIS, and Peripheral: Expanded Narrow: -- PA7 AB/DB15
AB15 and AB14 and AB13 and AB12 and AB11 and AB10 and DB15/DB7 DB14/DB6 DB13/DB5 DB12/DB4 DB11/DB3 DB10/DB2
Figure 15-2. Port A Data Register (PORTA)
Read: anytime when register is in the map Write: anytime when register is in the map Port A bits 7 through 0 are associated with address lines A15 through A8 respectively and data lines D15/D7 through D8/D0 respectively. When this port is not used for external addresses such as in single-chip mode, these pins can be used as general-purpose I/O. Data direction register A (DDRA) determines the primary direction of each pin. DDRA also determines the source of data for a read of PORTA. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. NOTE To ensure that you read the value present on the PORTA pins, always wait at least one cycle after writing to the DDRA register before reading from the PORTA register.
MC9S12NE64 Data Sheet, Rev 1.0 414 Freescale Semiconductor
Memory Map and Register Descriptions
15.3.2.2
Port B Data Register (PORTB)
Address $00011
1
Starting address location affected by INITRG register setting. BIT 7 Read: Bit 7 Write: Reset: Single Chip: Expanded Wide, Emulation Narrow with IVIS, and Peripheral: Expanded Narrow: -- PB7 AB/DB7 AB7 -- PB6 AB/DB6 AB6 -- PB5 AB/DB5 AB5 -- PB4 AB/DB4 AB4 -- PB3 AB/DB3 AB3 -- PB2 AB/DB2 AB2 -- PB1 AB/DB1 AB1 -- PB0 AB/DB0 AB0 6 5 4 3 2 1 Bit 0 6 5 4 3 2 1 BIT 0
Figure 15-3. Port B Data Register (PORTB)
Read: anytime when register is in the map Write: anytime when register is in the map Port B bits 7 through 0 are associated with address lines A7 through A0 respectively and data lines D7 through D0 respectively. When this port is not used for external addresses, such as in single-chip mode, these pins can be used as general-purpose I/O. Data direction register B (DDRB) determines the primary direction of each pin. DDRB also determines the source of data for a read of PORTB. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. NOTE To ensure that you read the value present on the PORTB pins, always wait at least one cycle after writing to the DDRB register before reading from the PORTB register.
15.3.2.3
Data Direction Register A (DDRA)
Address $00021
1
Starting address location affected by INITRG register setting. BIT 7 Read: Bit 7 Write: Reset: 0 0 0 0 0 0 0 0 6 5 4 3 2 1 Bit 0 6 5 4 3 2 1 BIT 0
Figure 15-4. Data Direction Register A (DDRA)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 415
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
Read: anytime when register is in the map Write: anytime when register is in the map This register controls the data direction for port A. When port A is operating as a general-purpose I/O port, DDRA determines the primary direction for each port A pin. A 1 causes the associated port pin to be an output and a 0 causes the associated pin to be a high-impedance input. The value in a DDR bit also affects the source of data for reads of the corresponding PORTA register. If the DDR bit is 0 (input) the buffered pin input state is read. If the DDR bit is 1 (output) the associated port data register bit state is read. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. It is reset to $00 so the DDR does not override the three-state control signals. DDRA7-DDRA0 -- Data Direction Port A 1 = Configure the corresponding I/O pin as an output 0 = Configure the corresponding I/O pin as an input
15.3.2.4
Data Direction Register B (DDRB)
Address $00031
1
Starting address location affected by INITRG register setting. BIT 7 Read: Bit 7 Write: Reset: 0 0 0 0 0 0 0 0 6 5 4 3 2 1 Bit 0 6 5 4 3 2 1 BIT 0
Figure 15-5. Data Direction Register B (DDRB)
Read: anytime when register is in the map Write: anytime when register is in the map This register controls the data direction for port B. When port B is operating as a general-purpose I/O port, DDRB determines the primary direction for each port B pin. A 1 causes the associated port pin to be an output and a 0 causes the associated pin to be a high-impedance input. The value in a DDR bit also affects the source of data for reads of the corresponding PORTB register. If the DDR bit is 0 (input) the buffered pin input state is read. If the DDR bit is 1 (output) the associated port data register bit state is read. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. It is reset to $00 so the DDR does not override the three-state control signals. DDRB7-DDRB0 -- Data Direction Port B 1 = Configure the corresponding I/O pin as an output 0 = Configure the corresponding I/O pin as an input
MC9S12NE64 Data Sheet, Rev 1.0 416 Freescale Semiconductor
Memory Map and Register Descriptions
15.3.2.5
Reserved Registers
Address $0004 through $00071
1
Starting address location affected by INITRG register setting. BIT 7 Read: Write: Reset: 0 0 0 0 0 0 0 0 0 6 0 5 0 4 0 3 0 2 0 1 0 BIT 0 0
= Unimplemented
Figure 15-6. Reserved Registers
These register locations are not used (reserved). All unused registers and bits in this block return logic 0s when read. Writes to these registers have no effect. These registers are not in the on-chip map in special peripheral mode.
15.3.2.6
Port E Data Register (PORTE)
Address $00081
1
Starting address location affected by INITRG register setting. BIT 7 Read: Bit 7 Write: Reset: -- NOACC -- -- -- ECLK -- LSTRB or TAGLO -- R/W -- IRQ -- XIRQ 6 5 4 3 2 6 5 4 3 2 1 Bit 1 BIT 0 Bit 0
Alternate Pin Function:
MODB MODA or IPIPE1 or IPIPE0 or CLKTO = Unimplemented
Figure 15-7. Port E Data Register (PORTE)
Read: anytime when register is in the map Write: anytime when register is in the map Port E is associated with external bus control signals and interrupt inputs. These include mode select (MODB/IPIPE1, MODA/IPIPE0), E clock, size (LSTRB/TAGLO), read/write (R/W), IRQ, and XIRQ. When not used for one of these specific functions, port E pins 7-2 can be used as general-purpose I/O and pins 1-0 can be used as general-purpose input. The port E assignment register (PEAR) selects the function of each pin and DDRE determines whether each pin is an input or output when it is configured to be general-purpose I/O. DDRE also determines the source of data for a read of PORTE.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 417
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
Some of these pins have software selectable pull-ups (PE7, ECLK, LSTRB, R/W, IRQ, and XIRQ). A single control bit enables the pull-ups for all of these pins when they are configured as inputs This register is not in the on-chip map in special peripheral mode or in expanded modes when the EME bit is set. Therefore, these accesses will be echoed externally. NOTE It is unwise to write PORTE and DDRE as a word access. If you are changing port E pins from being inputs to outputs, the data may have extra transitions during the write. It is best to initialize PORTE before enabling as outputs. NOTE To ensure that you read the value present on the PORTE pins, always wait at least one cycle after writing to the DDRE register before reading from the PORTE register.
15.3.2.7
Data Direction Register E (DDRE)
Address $00091
1
Starting address location affected by INITRG register setting. BIT 7 Read: Bit 7 Write: Reset: 0 0 0 0 0 0 0 0 6 5 4 3 Bit 2 6 5 4 3 2 1 0 BIT 0 0
= Unimplemented
Figure 15-8. Data Direction Register E (DDRE)
Read: anytime when register is in the map Write: anytime when register is in the map Data direction register E is associated with port E. For bits in port E that are configured as general-purpose I/O lines, DDRE determines the primary direction of each of these pins. A 1 causes the associated bit to be an output and a 0 causes the associated bit to be an input. Port E bit 1 (associated with IRQ) and bit 0 (associated with XIRQ) cannot be configured as outputs. Port E, bits 1 and 0, can be read regardless of whether the alternate interrupt function is enabled. The value in a DDR bit also affects the source of data for reads of the corresponding PORTE register. If the DDR bit is 0 (input) the buffered pin input state is read. If the DDR bit is 1 (output) the associated port data register bit state is read. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. Also, it is not in the map in expanded modes while the EME control bit is set.
MC9S12NE64 Data Sheet, Rev 1.0 418 Freescale Semiconductor
Memory Map and Register Descriptions
DDRE7-DDRE2 -- Data Direction Port E 1 = Configure the corresponding I/O pin as an output 0 = Configure the corresponding I/O pin as an input NOTE It is unwise to write PORTE and DDRE as a word access. If you are changing port E pins from inputs to outputs, the data may have extra transitions during the write. It is best to initialize PORTE before enabling as outputs.
15.3.2.8
Port E Assignment Register (PEAR)
Address $000A1
1
Starting address location affected by INITRG register setting. BIT 7 Read: Write: Reset: Reset: Reset: Reset: Reset: Reset: Reset: Reset: NOACCE 0 0 0 1 1 0 0 0 6 0 5 PIPOE 0 1 0 1 1 0 0 0 4 NECLK 0 0 0 0 0 1 0 0 3 LSTRE 0 1 0 1 1 0 0 0 2 RDWE 0 1 0 1 1 0 0 0 1 0 BIT 0 0 Special single chip Special test Peripheral Emulation expanded narrow Emulation expanded wide Normal single chip Normal expanded narrow Normal expanded wide
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0
= Unimplemented
Figure 15-9. Port E Assignment Register (PEAR)
Read: anytime (provided this register is in the map). Write: each bit has specific write conditions. Please refer to the descriptions of each bit on the following pages. Port E serves as general-purpose I/O or as system and bus control signals. The PEAR register is used to choose between the general-purpose I/O function and the alternate control functions. When an alternate control function is selected, the associated DDRE bits are overridden. The reset condition of this register depends on the mode of operation because bus control signals are needed immediately after reset in some modes. In normal single-chip mode, no external bus control signals are needed so all of port E is configured for general-purpose I/O. In normal expanded modes, only the E
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 419
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
clock is configured for its alternate bus control function and the other bits of port E are configured for general-purpose I/O. As the reset vector is located in external memory, the E clock is required for this access. R/W is only needed by the system when there are external writable resources. If the normal expanded system needs any other bus control signals, PEAR would need to be written before any access that needed the additional signals. In special test and emulation modes, IPIPE1, IPIPE0, E, LSTRB, and R/W are configured out of reset as bus control signals. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. NOACCE -- CPU No Access Output Enable Normal: write once Emulation: write never Special: write anytime 1 = The associated pin (port E, bit 7) is output and indicates whether the cycle is a CPU free cycle. 0 = The associated pin (port E, bit 7) is general-purpose I/O. This bit has no effect in single-chip or special peripheral modes. PIPOE -- Pipe Status Signal Output Enable Normal: write once Emulation: write never Special: write anytime. 1 = The associated pins (port E, bits 6:5) are outputs and indicate the state of the instruction queue 0 = The associated pins (port E, bits 6:5) are general-purpose I/O. This bit has no effect in single-chip or special peripheral modes. NECLK -- No External E Clock Normal and special: write anytime Emulation: write never 1 = The associated pin (port E, bit 4) is a general-purpose I/O pin. 0 = The associated pin (port E, bit 4) is the external E clock pin. External E clock is free-running if ESTR = 0 External E clock is available as an output in all modes. LSTRE -- Low Strobe (LSTRB) Enable Normal: write once Emulation: write never Special: write anytime. 1 = The associated pin (port E, bit 3) is configured as the LSTRB bus control output. If BDM tagging is enabled, TAGLO is multiplexed in on the rising edge of ECLK and LSTRB is driven out on the falling edge of ECLK. 0 = The associated pin (port E, bit 3) is a general-purpose I/O pin. This bit has no effect in single-chip, peripheral, or normal expanded narrow modes.
MC9S12NE64 Data Sheet, Rev 1.0 420 Freescale Semiconductor
Memory Map and Register Descriptions
NOTE LSTRB is used during external writes. After reset in normal expanded mode, LSTRB is disabled to provide an extra I/O pin. If LSTRB is needed, it should be enabled before any external writes. External reads do not normally need LSTRB because all 16 data bits can be driven even if the system only needs 8 bits of data. RDWE -- Read/Write Enable Normal: write once Emulation: write never Special: write anytime 1 = The associated pin (port E, bit 2) is configured as the R/W pin 0 = The associated pin (port E, bit 2) is a general-purpose I/O pin. This bit has no effect in single-chip or special peripheral modes. NOTE R/W is used for external writes. After reset in normal expanded mode, R/W is disabled to provide an extra I/O pin. If R/W is needed it should be enabled before any external writes.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 421
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.3.2.9
Mode Register (MODE)
Address $000B1
1
Starting address location affected by INITRG register setting. BIT 7 Read: MODC Write: Reset: Reset: Reset: Reset: Reset: Reset: Reset: Reset: 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 0 0 0 Special single chip Emulation expanded narrow Special test Emulation expanded wide Normal single chip Normal expanded narrow Peripheral Normal expanded wide MODB MODA 6 5 4 0 IVIS 3 2 0 EMK EME 1 BIT 0
= Unimplemented
Figure 15-10. Mode Register (MODE)
Read: anytime (provided this register is in the map). Write: each bit has specific write conditions. Please refer to the descriptions of each bit on the following pages. The MODE register is used to establish the operating mode and other miscellaneous functions (i.e., internal visibility and emulation of port E and K). In special peripheral mode, this register is not accessible but it is reset as shown to system configuration features. Changes to bits in the MODE register are delayed one cycle after the write. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. MODC, MODB, and MODA -- Mode Select Bits These bits indicate the current operating mode. If MODA = 1, then MODC, MODB, and MODA are write never. If MODC = MODA = 0, then MODC, MODB, and MODA are writable with the exception that you cannot change to or from special peripheral mode
MC9S12NE64 Data Sheet, Rev 1.0 422 Freescale Semiconductor
Memory Map and Register Descriptions
If MODC = 1, MODB = 0, and MODA = 0, then MODC is write never. MODB and MODA are write once, except that you cannot change to special peripheral mode. From normal single-chip, only normal expanded narrow and normal expanded wide modes are available.
Table 15-4. MODC, MODB, and MODA Write Capability1
MODC 0 0 0 0 1 MODB 0 0 1 1 0 MODA 0 1 0 1 0 Mode Special single chip Emulation narrow Special test Emulation wide Normal single chip MODx Write Capability MODC, MODB, and MODA write anytime but not to 1102 No write MODC, MODB, and MODA write anytime but not to 110(2) No write MODC write never, MODB and MODA write once but not to 110 No write No write No write
1 1 1
1 2
0 1 1
1 0 1
Normal expanded narrow Special peripheral Normal expanded wide
No writes to the MOD bits are allowed while operating in a secure mode. For more details, refer to the device overview chapter. If you are in a special single-chip or special test mode and you write to this register, changing to normal single-chip mode, then one allowed write to this register remains. If you write to normal expanded or emulation mode, then no writes remain.
Table 15-5. Mode Select and State of Mode Bits
Input BKGD and Bit MODC 0 0 0 0 1 1 1 1 Input and Bit MODB 0 0 1 1 0 0 1 1 Input and Bit MODA 0 1 0 1 0 1 0 1 Mode Description Special single chip, BDM allowed and active. BDM is "allowed" in all other modes but a serial command is required to make BDM "active". Emulation expanded narrow, BDM allowed Special test (expanded wide), BDM allowed Emulation expanded wide, BDM allowed Normal single chip, BDM allowed Normal expanded narrow, BDM allowed Peripheral, BDM allowed but bus operations would cause bus conflicts (must not be used) Normal expanded wide, BDM allowed
IVIS -- Internal Visibility (for both read and write accesses) This bit determines whether internal accesses generate a bus cycle that is visible on the external bus. Normal: write once Emulation: write never
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 423
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
Special: write anytime 1 = Internal bus operations are visible on external bus. 0 = No visibility of internal bus operations on external bus. EMK -- Emulate Port K Normal: write once Emulation: write never Special: write anytime 1 = If in any expanded mode, PORTK and DDRK are removed from the memory map. 0 = PORTK and DDRK are in the memory map so port K can be used for general-purpose I/O. In single-chip modes, PORTK and DDRK are always in the map regardless of the state of this bit. In special peripheral mode, PORTK and DDRK are never in the map regardless of the state of this bit. EME -- Emulate Port E Normal and Emulation: write never Special: write anytime 1 = If in any expanded mode or special peripheral mode, PORTE and DDRE are removed from the memory map. Removing the registers from the map allows the user to emulate the function of these registers externally. 0 = PORTE and DDRE are in the memory map so port E can be used for general-purpose I/O. In single-chip modes, PORTE and DDRE are always in the map regardless of the state of this bit.
15.3.2.10 Pull-Up Control Register (PUCR)
Address $000C1
1
Starting address location affected by INITRG register setting. BIT 7 Read: PUPKE Write: 6 0 5 0 PUPEE 0 0 1 0 0 4 3 0 2 0 PUPBE 0 PUPAE 0 1 BIT 0
Reset:(1)
1
= Unimplemented NOTES: 1. The default value of this parameter is shown. Please refer to the device overview chapter to determine the actual reset state of this register.
Figure 15-11. Pullup Control Register (PUCR)
Read: anytime (provided this register is in the map). Write: anytime (provided this register is in the map). This register is used to select pull resistors for the pins associated with the core ports. Pull resistors are assigned on a per-port basis and apply to any pin in the corresponding port that is currently configured as
MC9S12NE64 Data Sheet, Rev 1.0 424 Freescale Semiconductor
Memory Map and Register Descriptions
an input. The polarity of these pull resistors is determined by chip integration. Please refer to the device overview chapter to determine the polarity of these resistors. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. NOTE These bits have no effect when the associated pin(s) are outputs. (The pull resistors are inactive.) PUPKE -- Pull-Up Port K Enable 1 = Enable pull resistors for port K input pins. 0 = Port K pull resistors are disabled. PUPEE -- Pull-Up Port E Enable 1 = Enable pull resistors for port E input pins bits 7, 4-0. 0 = Port E pull resistors on bits 7, 4-0 are disabled. NOTE Bits 5 and 6 of port E have pull resistors which are only enabled during reset. This bit has no effect on these pins. PUPBE -- Pull-Up Port B Enable 1 = Enable pull resistors for all port B input pins. 0 = Port B pull resistors are disabled. PUPAE -- Pull-Up Port A Enable 1 = Enable pull resistors for all port A input pins. 0 = Port A pull resistors are disabled.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 425
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.3.2.11 Reduced Drive Register (RDRIV)
Address $000D1
1
Starting address location affected by INITRG register setting. BIT 7 Read: RDPK Write: Reset: 0 0 0 0 0 0 0 0 6 0 5 0 RDPE 4 3 0 2 0 RDPB RDPA 1 BIT 0
= Unimplemented
Figure 15-12. Reduced Drive Register (RDRIV)
Read: anytime (provided this register is in the map) Write: anytime (provided this register is in the map) This register is used to select reduced drive for the pins associated with the core ports. This gives reduced power consumption and reduced RFI with a slight increase in transition time (depending on loading). This feature would be used on ports which have a light loading. The reduced drive function is independent of which function is being used on a particular port. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. RDPK -- Reduced Drive of Port K 1 = All port K output pins have reduced drive enabled. 0 = All port K output pins have full drive enabled. RDPE -- Reduced Drive of Port E 1 = All port E output pins have reduced drive enabled. 0 = All port E output pins have full drive enabled. RDPB -- Reduced Drive of Port B 1 = All port B output pins have reduced drive enabled. 0 = All port B output pins have full drive enabled. RDPA -- Reduced Drive of Ports A 1 = All port A output pins have reduced drive enabled. 0 = All port A output pins have full drive enabled.
MC9S12NE64 Data Sheet, Rev 1.0 426 Freescale Semiconductor
Memory Map and Register Descriptions
15.3.2.12 External Bus Interface Control Register (EBICTL)
Address $000E1
1
Starting address location affected by INITRG register setting. BIT 7 Read: Write: Reset: Reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 Peripheral All other modes 0 6 0 5 0 4 0 3 0 2 0 1 0 ESTR BIT 0
= Unimplemented
Figure 15-13. External Bus Interface Control Register (EBICTL)
Read: anytime (provided this register is in the map) Write: refer to individual bit descriptions below The EBICTL register is used to control miscellaneous functions (i.e., stretching of external E clock). This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally. ESTR -- E Clock Stretches This control bit determines whether the E clock behaves as a simple free-running clock or as a bus control signal that is active only for external bus cycles. Normal and Emulation: write once Special: write anytime 1 = E stretches high during stretched external accesses and remains low during non-visible internal accesses. 0 = E never stretches (always free running). This bit has no effect in single-chip modes.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 427
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
15.3.2.13 Reserved Register
Address $000F1
1
Starting address location affected by INITRG register setting. Read: Write: Reset: 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
= Unimplemented
Figure 15-14. Reserved Register
This register location is not used (reserved). All bits in this register return logic 0s when read. Writes to this register have no effect. This register is not in the on-chip memory map in expanded and special peripheral modes. Therefore, these accesses will be echoed externally.
15.3.2.14 IRQ Control Register (IRQCR)
Address $001E1
1
Starting address location affected by INITRG register setting. Bit 7 Read: IRQE Write: Reset: 0 1 0 0 0 0 0 0 IRQEN 6 5 0 4 0 3 0 2 0 1 0 Bit 0 0
= Unimplemented
Figure 15-15. IRQ Control Register (IRQCR)
Read: see individual bit descriptions below Write: see individual bit descriptions below IRQE -- IRQ Select Edge Sensitive Only Special modes: read or write anytime Normal and Emulation modes: read anytime, write once 1 = IRQ configured to respond only to falling edges. Falling edges on the IRQ pin will be detected anytime IRQE = 1 and will be cleared only upon a reset or the servicing of the IRQ interrupt. 0 = IRQ configured for low level recognition. IRQEN -- External IRQ Enable Normal, emulation, and special modes: read or write anytime 1 = External IRQ pin is connected to interrupt logic. 0 = External IRQ pin is disconnected from interrupt logic.
MC9S12NE64 Data Sheet, Rev 1.0 428 Freescale Semiconductor
Memory Map and Register Descriptions
NOTE When IRQEN = 0, the edge detect latch is disabled.
15.3.2.15 Port K Data Register (PORTK)
Address $00321
1 Starting address location affected by INITRG register setting.
Bit 7 Read: Bit 7 Write: Alternate Pin Function Reset: ECS --
6 6
5 5
4 4
3 3
2 2
1 1
Bit 0 Bit 0
XCS --
XAB19 --
XAB18 --
XAB17 --
XAB16 --
XAB15 --
XAB14 --
Figure 15-16. Port K Data Register (PORTK)
Read: anytime Write: anytime This port is associated with the internal memory expansion emulation pins. When the port is not enabled to emulate the internal memory expansion, the port pins are used as general-purpose I/O. When port K is operating as a general-purpose I/O port, DDRK determines the primary direction for each port K pin. A 1 causes the associated port pin to be an output and a 0 causes the associated pin to be a high-impedance input. The value in a DDR bit also affects the source of data for reads of the corresponding PORTK register. If the DDR bit is 0 (input) the buffered pin input is read. If the DDR bit is 1 (output) the output of the port data register is read. This register is not in the map in peripheral or expanded modes while the EMK control bit in MODE register is set. Therefore, these accesses will be echoed externally. When inputs, these pins can be selected to be high impedance or pulled up, based upon the state of the PUPKE bit in the PUCR register. Bit 7 -- Port K, Bit 7 This bit is used as an emulation chip select signal for the emulation of the internal memory expansion, or as general-purpose I/O, depending upon the state of the EMK bit in the MODE register. While this bit is used as a chip select, the external bit will return to its de-asserted state (VDD) for approximately 1/4 cycle just after the negative edge of ECLK, unless the external access is stretched and ECLK is free-running (ESTR bit in EBICTL = 0). See the MMC block description chapter for additional details on when this signal will be active.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 429
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
Bit 6 -- Port K, Bit 6 This bit is used as an external chip select signal for most external accesses that are not selected by ECS (see the MMC block description chapter for more details), depending upon the state the of the EMK bit in the MODE register. While this bit is used as a chip select, the external pin will return to its de-asserted state (VDD) for approximately 1/4 cycle just after the negative edge of ECLK, unless the external access is stretched and ECLK is free-running (ESTR bit in EBICTL = 0). Bits 5-0 -- Port K, Bits 5-0 These six bits are used to determine which FLASH/ROM or external memory array page is being accessed. They can be viewed as expanded addresses XAB19-XAB14 of the 20-bit address used to access up to1M byte internal FLASH/ROM or external memory array. Alternatively, these bits can be used for general-purpose I/O depending upon the state of the EMK bit in the MODE register.
15.3.2.16 Port K Data Direction Register (DDRK)
Address $00331
1 Starting address location affected by INITRG register setting.
Bit 7 Read: Bit 7 Write: Reset: 0
6 Bit 6 0
5 5 0
4 4 0
3 3 0
2 2 0
1 1 0
Bit 0 Bit 0 0
Figure 15-17. Port K Data Direction Register (DDRK)
Read: anytime. Write: anytime. This register determines the primary direction for each port K pin configured as general-purpose I/O. This register is not in the map in peripheral or expanded modes while the EMK control bit in MODE register is set. Therefore, these accesses will be echoed externally. DDRK7-DDRK0 -- Data Direction Port K Bits 1 = Associated pin is an output 0 = Associated pin is a high-impedance input NOTE It is unwise to write PORTK and DDRK as a word access. If you are changing port K pins from inputs to outputs, the data may have extra transitions during the write. It is best to initialize PORTK before enabling as outputs. NOTE To ensure that you read the correct value from the PORTK pins, always wait at least one cycle after writing to the DDRK register before reading from the PORTK register.
MC9S12NE64 Data Sheet, Rev 1.0 430 Freescale Semiconductor
Functional Description
15.4
Functional Description
There are four main sub-blocks within the MEBI: * External bus control * External data bus interface * Control * Registers
15.4.1
External Bus Control
The external bus control generates the miscellaneous control functions (pipe signals, ECLK, LSTRB, and R/W) that will be sent external on port E, bits 6-2. It also generates the external addresses.
15.4.1.1
Detecting Access Type from External Signals
The external signals LSTRB, R/W, and AB0 indicate the type of bus access that is taking place. Accesses to the internal RAM module are the only type of access that would produce LSTRB = AB0 = 1, because the internal RAM is specifically designed to allow misaligned 16-bit accesses in a single cycle. In these cases the data for the address that was accessed is on the low half of the data bus and the data for address + 1 is on the high half of the data bus. This is summarized in Table 15-6.
Table 15-6. Access Type vs. Bus Control Pins
LSTRB 1 0 1 0 0 1 0 1 AB0 0 1 0 1 0 1 0 1 R/W 1 1 0 0 1 1 0 0 Type of Access 8-bit read of an even address 8-bit read of an odd address 8-bit write of an even address 8-bit write of an odd address 16-bit read of an even address 16-bit read of an odd address (low/high data swapped) 16-bit write to an even address 16-bit write to an odd address (low/high data swapped)
15.4.1.2
Stretched Bus Cycles
In order to allow fast internal bus cycles to coexist in a system with slower external memory resources, the HCS12 supports the concept of stretched bus cycles (module timing reference clocks for timers and baud rate generators are not affected by this stretching). Control bits in the MISC register in the MMC sub-block of the core specify the amount of stretch (0, 1, 2, or 3 periods of the internal bus-rate clock). While stretching, the CPU state machines are all held in their current state. At this point in the CPU bus cycle,
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 431
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
write data would already be driven onto the data bus so the length of time write data is valid is extended in the case of a stretched bus cycle. Read data would not be captured by the system until the E clock falling edge. In the case of a stretched bus cycle, read data is not required until the specified setup time before the falling edge of the stretched E clock. The chip selects, and R/W signals remain valid during the period of stretching (throughout the stretched E high time). NOTE The address portion of the bus cycle is not stretched.
15.4.2
External Data Bus Interface
The external data bus interface block manages data transfers from/to the external pins to/from the internal read and write data buses. This block selectively couples 8-bit or 16-bit data to the internal data bus to implement a variety of data transfers including 8-bit, 16-bit, 16-bit swapped, and 8-bit external to 16-bit internal accesses. Modes, addresses, chip selects, etc. affect the type of accesses performed during each bus cycle.
15.4.2.1
Internal Visibility
Internal visibility is available when the system is operating in expanded wide modes, special test mode, or emulation narrow mode. It is not available in single-chip, peripheral, or normal expanded narrow modes. Internal visibility is enabled by setting the IVIS bit in the MODE register. If an internal access is made while E, R/W, and LSTRB are configured as bus control outputs and internal visibility is off (IVIS = 0), E will remain low for the cycle, R/W will remain high, and the LSTRB pins will remain at their previous state. The address bus is not affected by the IVIS function, as address information is always driven. When internal visibility is enabled (IVIS = 1), certain internal cycles will be blocked from going external to prevent possible corruption of external devices. Specifically, during cycles when the BDM is selected, R/W will remain high, data will maintain its previous state, and address and LSTRB pins will be updated with the internal value. During CPU no access cycles when the BDM is not driving, R/W will remain high, and address, data, and the LSTRB pins will remain at their previous state.
15.4.2.2
Secure Mode
When the system is operating in a secure mode, internal visibility is not available (i.e., IVIS = 1 has no effect). Also, the IPIPE signals will not be visible, regardless of operating mode. IPIPE1-IPIPE0 will display 0es if they are enabled. In addition, the MOD bits in the MODE control register cannot be written.
15.4.3
Control
The control block generates the register read/write control signals and miscellaneous port control signals.
MC9S12NE64 Data Sheet, Rev 1.0 432 Freescale Semiconductor
Functional Description
15.4.3.1
Low-Power Options
The MEBI does not contain any user-controlled options for reducing power consumption. The operation of the MEBI in low-power modes is discussed in the following subsections. 15.4.3.1.1 Run Mode
The MEBI does not contain any options for reducing power in run mode; however, the external addresses are conditioned to reduce power in single-chip modes. Expanded bus modes will increase power consumption. 15.4.3.1.2 Wait Mode
The MEBI does not contain any options for reducing power in wait mode. 15.4.3.1.3 Stop Mode
The MEBI will cease to function after execution of a CPU STOP instruction.
15.4.4
Registers
The register block includes the fourteen 8-bit registers and five reserved register locations associated with the MEBI sub-block.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 433
Chapter 15 Multiplexed External Bus Interface (MEBI) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 434 Freescale Semiconductor
Chapter 16 Module Mapping Control (MMC) Block Description
16.1 Introduction
This section describes the functionality of the module mapping control (MMC) sub-block of the S12 core platform. The block diagram of the MMC is shown in Figure 16-1.
MMC SECURE BDM_UNSECURE STOP, WAIT SECURITY MMC_SECURE
ADDRESS DECODE READ & WRITE ENABLES CLOCKS, RESET MODE INFORMATION INTERNAL MEMORY EXPANSION REGISTERS PORT K INTERFACE MEMORY SPACE SELECT(S) PERIPHERAL SELECT EBI ALTERNATE ADDRESS BUS EBI ALTERNATE WRITE DATA BUS EBI ALTERNATE READ DATA BUS ALTERNATE ADDRESS BUS (BDM) CPU ADDRESS BUS CPU READ DATA BUS CPU WRITE DATA BUS CPU CONTROL BUS CONTROL ALTERNATE WRITE DATA BUS (BDM) ALTERNATE READ DATA BUS (BDM) CORE SELECT (S)
Figure 16-1. Module Mapping Control Block Diagram
The MMC is the sub-module which controls memory map assignment and selection of internal resources and external space. Internal buses between the core and memories and between the core and peripherals is controlled in this module. The memory expansion is generated in this module.
16.1.1
*
Features
Registers for mapping of address space for on-chip RAM, EEPROM, and FLASH (or ROM) memory blocks and associated registers
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 435
Chapter 16 Module Mapping Control (MMC) Block Description
* * * * * * * * * *
Memory mapping control and selection based upon address decode and system operating mode Core address bus control Core data bus control and multiplexing Core security state decoding Emulation chip select signal generation (ECS) External chip select signal generation (XCS) Internal memory expansion External stretch and ROM mapping control functions via the MISC register Reserved registers for test purposes Configurable system memory options defined at integration of core into the system-on-a-chip (SOC).
16.1.2
Modes of Operation
Some of the registers operate differently depending on the mode of operation (i.e., normal expanded wide, special single chip, etc.). This is best understood from the register descriptions.
16.2
External Signal Description
All interfacing with the MMC sub-block is done within the core, it has no external signals.
16.3
Memory Map and Register Descriptions
A summary of the registers associated with the MMC sub-block is shown in Table 16-1. Detailed descriptions of the registers and bits are given in the subsections that follow.
16.3.1
Address1 $0010
Module Memory Map
Table 16-1. Module Mapping Control Register Summary
Name INITRM Read Write Read Write Read Write Read Write = Unimplemented Bit 7 RAM15 6 RAM14 5 RAM13 4 RAM12 3 RAM11 2 0 1 0 Bit 0 RAMHAL
$0011
INITRG
0
REG14
REG13
REG12
REG11
0
0
0
$0012
INITEE
EE15
EE14
EE13
EE12
EE11
0
0
EEON
$0013
MISC
0
0
0
0
EXSTR1
EXSTR0
ROMHM
ROMON
MC9S12NE64 Data Sheet, Rev 1.0 436 Freescale Semiconductor
Memory Map and Register Descriptions
Table 16-1. Module Mapping Control Register Summary (Continued)
Address1 $0014 Name MTSTO Read Write Bit 7 BIT 7 6 6 5 5 4 4 3 3 2 2 1 1 Bit 0 BIT 0
$0017
MTST1
Read Write
BIT 7
6
5
4
3
2
1
BIT 0
$001C
MEMSIZ0
Read REG_SW0 Write
0
EEP_SW1 EEP_SW0
0
RAM_SW2 RAM_SW1 RAM_SW0
$001D
MEMSIZ1
Read ROM_SW1 ROM_SW0 Write
0
0
0
0
PAG_SW1 PAG_SW0
$0030
PPAGE
Read Write Read Write
0
0
PIX5
PIX4
PIX3
PIX2
PIX1
PIX0
$0031
Reserved
0
0
0
0
0
0
0
0
= Unimplemented
1
Starting address location affected by INITRG register setting.
16.3.2
16.3.2.1
Register Descriptions
Initialization of Internal RAM Position Register (INITRM)
Address $00101
1 Starting address location affected by INITRG register setting.
7 R W Reset RAM15 0
6 RAM14 0
5 RAM13 0
4 RAM12 0
3 RAM11 1
2 0 0
1 0 0
0 RAMHAL 1
= Unimplemented or Reserved
Figure 16-2. Initialization of Internal RAM Position Register (INITRM)
Read: anytime Write: once in normal and emulation modes, anytime in special modes
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 437
Chapter 16 Module Mapping Control (MMC) Block Description
NOTE Writes to this register take one cycle to go into effect. This register initializes the position of the internal RAM within the on-chip system memory map. RAM15-RAM11 -- Internal RAM Map Position These bits determine the upper five bits of the base address for the system's internal RAM array. RAMHAL -- RAM High-Align RAMHAL specifies the alignment of the internal RAM array. 0 = Aligns the RAM to the lowest address ($0000) of the mappable space 1 = Aligns the RAM to the higher address ($FFFF) of the mappable space
16.3.2.2
1
Initialization of Internal Registers Position Register (INITRG)
Address $00111
Starting address location affected by INITRG register setting. 7 R W Reset 0 0 6 REG14 0 5 REG13 0 4 REG12 0 3 REG11 0 2 0 0 1 0 0 0 0 0
= Unimplemented or Reserved
Figure 16-3. Initialization of Internal Registers Position Register (INITRG)
Read: anytime Write: once in normal and emulation modes and anytime in special modes This register initializes the position of the internal registers within the on-chip system memory map. The registers occupy either a 1K byte or 2K byte space and can be mapped to any 2K byte space within the first 32K bytes of the system's address space. REG14-REG11 -- Internal Register Map Position These four bits in combination with the leading zero supplied by bit 7 of INITRG determine the upper five bits of the base address for the system's internal registers (i.e., the minimum base address is $0000 and the maximum is $7FFF).
16.3.2.3
Initialization of Internal EEPROM Position Register (INITEE)
Address $00121
1 Starting address location affected by INITRG register setting.
7 R W Reset1
1
6 EE14
5 EE13
4 EE12
3 EE11 --
EE15 --
2 0 --
1 0 --
0 EEON --
-- -- -- = Unimplemented or Reserved
The reset state of this register is controlled at chip integration. Please refer to the device overview section to determine the actual reset state of this register.
Figure 16-4. Initialization of Internal EEPROM Position Register (INITEE)
MC9S12NE64 Data Sheet, Rev 1.0 438 Freescale Semiconductor
Memory Map and Register Descriptions
Read: anytime Write: The EEON bit can be written to any time on all devices. Bits E11-E15 are "write anytime in all modes" on most devices. On some devices, bits E11-E15 are "write once in normal and emulation modes and write anytime in special modes". See device overview chapter to determine the actual write access rights. NOTE Writes to this register take one cycle to go into effect. This register initializes the position of the internal EEPROM within the on-chip system memory map. EE15-EE11 -- Internal EEPROM Map Position These bits determine the upper five bits of the base address for the system's internal EEPROM array. EEON -- Enable EEPROM This bit is used to enable the EEPROM memory in the memory map. 1 = Enables the EEPROM in the memory map at the address selected by EE15-EE11. 0 = Disables the EEPROM from the memory map.
16.3.2.4
Miscellaneous System Control Register (MISC)
Address $00131
1 Starting address location affected by INITRG register setting.
7 R W Reset: Expanded or Emulation Reset: Peripheral or Single Chip Reset: Special Test
1
6 0
5 0
4 0
3 EXSTR1 1 1 1
2 EXSTR0 1 1 1
1 ROMHM 0 0 0
0 ROMON --1 1 0
0
0 0 0
0 0 0
0 0 0
0 0 0
= Unimplemented or Reserved The reset state of this bit is determined at the chip integration level.
Figure 16-5. Miscellaneous System Control Register (MISC)
Read: anytime Write: as stated in each bit description NOTE Writes to this register take one cycle to go into effect. This register initializes miscellaneous control functions. EXSTR1 and EXSTR0 -- External Access Stretch Bits 1 and 0 Write: once in normal and emulation modes and anytime in special modes
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 439
Chapter 16 Module Mapping Control (MMC) Block Description
This two-bit field determines the amount of clock stretch on accesses to the external address space as shown in Table 16-2. In single chip and peripheral modes these bits have no meaning or effect.
Table 16-2. External Stretch Bit Definition
Stretch Bit EXSTR1 0 0 1 1 Stretch Bit EXSTR0 0 1 0 1 Number of E Clocks Stretched 0 1 2 3
ROMHM -- FLASH EEPROM or ROM Only in Second Half of Memory Map Write: once in normal and emulation modes and anytime in special modes 1 = Disables direct access to the FLASH EEPROM or ROM in the lower half of the memory map. These physical locations of the FLASH EEPROM or ROM can still be accessed through the program page window. 0 = The fixed page(s) of FLASH EEPROM or ROM in the lower half of the memory map can be accessed. ROMON -- Enable FLASH EEPROM or ROM Write: once in normal and emulation modes and anytime in special modes This bit is used to enable the FLASH EEPROM or ROM memory in the memory map. 1 = Enables the FLASH EEPROM or ROM in the memory map. 0 = Disables the FLASH EEPROM or ROM from the memory map.
16.3.2.5
Reserved Test Register 0 (MTST0)
Address $00141
1 Starting address location affected by INITRG register setting.
7 R W Reset 0 0
6 0 0
5 0 0
4 0 0
3 0 0
2 0 0
1 0 0
0 0 0
= Unimplemented or Reserved
Figure 16-6. Reserved Test Register 0 (MTST0)
Read: anytime Write: no effect -- this register location is used for internal test purposes.
MC9S12NE64 Data Sheet, Rev 1.0 440 Freescale Semiconductor
Memory Map and Register Descriptions
16.3.2.6
Reserved Test Register 1 (MTST1)
Address $00171
1 Starting address location affected by INITRG register setting.
7 R W Reset 0 0
6 0 0
5 0 0
4 0 1
3 0 0
2 0 0
1 0 0
0 0 0
= Unimplemented or Reserved
Figure 16-7. Reserved Test Register 1 (MTST1)
Read: anytime Write: no effect -- this register location is used for internal test purposes.
16.3.2.7
Memory Size Register 0 (MEMSIZ0)
Address $001C1
1 Starting address location affected by INITRG register setting.
7 R W Reset -- REG_SW0
6 0 --
5
4
3 0 --
2
1
0
EEP_SW1 EEP_SW0 -- --
RAM_SW2 RAM_SW1 RAM_SW0 -- -- --
= Unimplemented or Reserved
Figure 16-8. Memory Size Register 0
Read: anytime Write: writes have no effect Reset: Defined at chip integration, see device overview section. The MEMSIZ0 register reflects the state of the register, EEPROM and RAM memory space configuration switches at the core boundary which are configured at system integration. This register allows read visibility to the state of these switches. REG_SW0 -- Allocated System Register Space 1 = Allocated system register space size is 2K byte 0 = Allocated system register space size is 1K byte EEP_SW1:EEP_SW0 -- Allocated System EEPROM Memory Space The allocated system EEPROM memory space size is as given in Table 16-3.
Table 16-3. Allocated EEPROM Memory Space
eep_sw1:eep_sw0 00 01 Allocated EEPROM Space 0K byte 2K bytes
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 441
Chapter 16 Module Mapping Control (MMC) Block Description
Table 16-3. Allocated EEPROM Memory Space
eep_sw1:eep_sw0 10 11 Allocated EEPROM Space 4K bytes 8K bytes
RAM_SW2:RAM_SW0 -- Allocated System RAM Memory Space The allocated system RAM memory space size is as given in Table 16-4.
Table 16-4. Allocated RAM Memory Space
ram_sw2:ram_sw0 000 001 010 011 100 101 110 111
1 2
Allocated RAM Space 2K bytes 4K bytes 6K bytes 8K bytes 10K bytes 12K bytes 14K bytes 16K bytes
RAM Mappable Region 2K bytes 4K bytes 8K bytes2 8K bytes 16K bytes 2 16K bytes 2 16K bytes 2 16K bytes
INITRM Bits Used RAM15-RAM11 RAM15-RAM12 RAM15-RAM13 RAM15-RAM13 RAM15-RAM14 RAM15-RAM14 RAM15-RAM14 RAM15-RAM14
RAM Reset Base Address1 $0800 $0000 $0800 $0000 $1800 $1000 $0800 $0000
The RAM Reset BASE Address is based on the reset value of the INITRM register, $09. Alignment of the Allocated RAM space within the RAM mappable region is dependent on the value of RAMHAL.
NOTE As stated, the bits in this register provide read visibility to the system physical memory space allocations defined at system integration. The actual array size for any given type of memory block may differ from the allocated size. Please refer to the device overview chapter for actual sizes.
16.3.2.8
Memory Size Register 1 (MEMSIZ1)
Address $001D1
1 Starting address location affected by INITRG register setting.
7 R W Reset --
6
5 0 --
4 0 --
3 0 --
2 0 --
1
0
ROM_SW1 ROM_SW0 --
PAG_SW1 PAG_SW0 -- --
= Unimplemented or Reserved
Figure 16-9. Memory Size Register 1
Read: anytime Write: writes have no effect
MC9S12NE64 Data Sheet, Rev 1.0 442 Freescale Semiconductor
Memory Map and Register Descriptions
Reset: defined at chip integration, see device overview section. The MEMSIZ1 register reflects the state of the FLASH or ROM physical memory space and paging switches at the core boundary which are configured at system integration. This register allows read visibility to the state of these switches. ROM_SW1:ROM_SW0 -- Allocated System FLASH or ROM Physical Memory Space The allocated system FLASH or ROM physical memory space is as given in Table 16-5.
Table 16-5. Allocated FLASH/ROM Physical Memory Space
rom_sw1:rom_sw0 00 01 10 11 Allocated FLASH or ROM Space 0K byte 16K bytes 48K bytes(1) 64K bytes(1)
NOTES: 1. The ROMHM software bit in the MISC register determines the accessibility of the FLASH/ROM memory space. Please refer to Section 16.3.2.8, "Memory Size Register 1 (MEMSIZ1)," for a detailed functional description of the ROMHM bit.
PAG_SW1:PAG_SW0 -- Allocated Off-Chip FLASH or ROM Memory Space The allocated off-chip FLASH or ROM memory space size is as given in Table 16-6.
Table 16-6. Allocated Off-Chip Memory Options
pag_sw1:pag_sw0 00 01 10 11 Off-Chip Space 876K bytes 768K bytes 512K bytes 0K byte On-Chip Space 128K bytes 256K bytes 512K bytes 1M byte
NOTE As stated, the bits in this register provide read visibility to the system memory space and on-chip/off-chip partitioning allocations defined at system integration. The actual array size for any given type of memory block may differ from the allocated size. Please refer to the device overview chapter for actual sizes.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 443
Chapter 16 Module Mapping Control (MMC) Block Description
16.3.2.9
Program Page Index Register (PPAGE)
Address $00301
1 Starting address location affected by INITRG register setting.
7 R W Reset1
1
6 0 --
5 PIX5 --
4 PIX4 --
3 PIX3 --
2 PIX2 --
1 PIX1 --
0 PIX0 --
0 --
= Unimplemented or Reserved The reset state of this register is controlled at chip integration. Please refer to the device overview chapter to determine the actual reset state of this register.
Figure 16-10. Program Page Index Register (PPAGE)
Read: anytime Write: determined at chip integration. Generally it's: "write anytime in all modes;" on some devices it will be: "write only in special modes." Check specific device documentation to determine which applies. Reset: defined at chip integration as either $00 (paired with write in any mode) or $3C (paired with write only in special modes), see device overview chapter. The HCS12 core architecture limits the physical address space available to 64K bytes. The program page index register allows for integrating up to 1M byte of FLASH or ROM into the system by using the six page index bits to page 16K byte blocks into the program page window located from $8000 to $BFFF as defined in Table 16-7. CALL and RTC instructions have special access to read and write this register without using the address bus. NOTE Normal writes to this register take one cycle to go into effect. Writes to this register using the special access of the CALL and RTC instructions will be complete before the end of the associated instruction. PIX5-PIX0 -- Program Page Index Bits 5-0 These page index bits are used to select which of the 64 FLASH or ROM array pages is to be accessed in the program page window as shown in Table 16-7.
MC9S12NE64 Data Sheet, Rev 1.0 444 Freescale Semiconductor
Functional Description
Table 16-7. Program Page Index Register Bits
PIX5 0 0 0 0 . . . . . 1 1 1 1 PIX4 0 0 0 0 . . . . . 1 1 1 1 PIX3 0 0 0 0 . . . . 1 1 1 1 PIX2 0 0 0 0 . . . . . 1 1 1 1 PIX1 0 0 1 1 . . . . . 0 0 1 1 PIX0 0 1 0 1 . . . . . 0 1 0 1 Program Space Selected 16K page 0 16K page 1 16K page 2 16K page 3 . . . . . 16K page 60 16K page 61 16K page 62 16K page 63
16.4
Functional Description
The MMC sub-block performs four basic functions of the core operation: bus control, address decoding and select signal generation, memory expansion, and security decoding for the system. Each aspect is described in the following subsections.
16.4.1
Bus Control
The MMC controls the address bus and data buses that interface the core with the rest of the system. This includes the multiplexing of the input data buses to the core onto the main CPU read data bus and control of data flow from the CPU to the output address and data buses of the core. In addition, the MMC handles all CPU read data bus swapping operations.
16.4.2
Address Decoding
As data flows on the core address bus, the MMC decodes the address information, determines whether the internal core register or firmware space, the peripheral space or a memory register or array space is being addressed and generates the correct select signal. This decoding operation also interprets the mode of operation of the system and the state of the mapping control registers in order to generate the proper select. The MMC also generates two external chip select signals, emulation chip select (ECS) and external chip select (XCS).
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 445
Chapter 16 Module Mapping Control (MMC) Block Description
16.4.2.1
Select Priority and Mode Considerations
Although internal resources such as control registers and on-chip memory have default addresses, each can be relocated by changing the default values in control registers. Normally, I/O addresses, control registers, vector spaces, expansion windows, and on-chip memory are mapped so that their address ranges do not overlap. The MMC will make only one select signal active at any given time. This activation is based upon the priority outlined in Table 16-8. If two or more blocks share the same address space, only the select signal for the block with the highest priority will become active. An example of this is if the registers and the RAM are mapped to the same space, the registers will have priority over the RAM and the portion of RAM mapped in this shared space will not be accessible. The expansion windows have the lowest priority. This means that registers, vectors, and on-chip memory are always visible to a program regardless of the values in the page select registers.
Table 16-8. Select Signal Priority
Priority Highest ... ... ... ... Lowest Address Space BDM (internal to core) firmware or register space Internal register space RAM memory block EEPROM memory block On-chip FLASH or ROM Remaining external space
In expanded modes, all address space not used by internal resources is by default external memory space. The data registers and data direction registers for ports A and B are removed from the on-chip memory map and become external accesses. If the EME bit in the MODE register (see MEBI block description chapter) is set, the data and data direction registers for port E are also removed from the on-chip memory map and become external accesses. In special peripheral mode, the first 16 registers associated with bus expansion are removed from the on-chip memory map (PORTA, PORTB, DDRA, DDRB, PORTE, DDRE, PEAR, MODE, PUCR, RDRIV, and the EBI reserved registers). In emulation modes, if the EMK bit in the MODE register (see MEBI block description chapter) is set, the data and data direction registers for port K are removed from the on-chip memory map and become external accesses.
16.4.2.2
Emulation Chip Select Signal
When the EMK bit in the MODE register (see MEBI block description chapter) is set, port K bit 7 is used as an active-low emulation chip select signal, ECS. This signal is active when the system is in emulation mode, the EMK bit is set and the FLASH or ROM space is being addressed subject to the conditions outlined in Section 16.4.3.2, "Extended Address (XAB19:14) and ECS Signal Functionality." When the EMK bit is clear, this pin is used for general purpose I/O.
MC9S12NE64 Data Sheet, Rev 1.0 446 Freescale Semiconductor
Functional Description
16.4.2.3
External Chip Select Signal
When the EMK bit in the MODE register (see MEBI block description chapter) is set, port K bit 6 is used as an active-low external chip select signal, XCS. This signal is active only when the ECS signal described above is not active and when the system is addressing the external address space. Accesses to unimplemented locations within the register space or to locations that are removed from the map (i.e., ports A and B in expanded modes) will not cause this signal to become active. When the EMK bit is clear, this pin is used for general purpose I/O.
16.4.3
Memory Expansion
The HCS12 core architecture limits the physical address space available to 64K bytes. The program page index register allows for integrating up to 1M byte of FLASH or ROM into the system by using the six page index bits to page 16K byte blocks into the program page window located from $8000 to $BFFF in the physical memory space. The paged memory space can consist of solely on-chip memory or a combination of on-chip and off-chip memory. This partitioning is configured at system integration through the use of the paging configuration switches (pag_sw1:pag_sw0) at the core boundary. The options available to the integrator are as given in Table 16-9 (this table matches Table 16-6 but is repeated here for easy reference).
Table 16-9. Allocated Off-Chip Memory Options
pag_sw1:pag_sw0 00 01 10 11 Off-Chip Space 876K byte2 768K byte2 512K byte2 0K byte On-Chip Space 128K byte2 256K byte2 512K byte2 1M byte
Based upon the system configuration, the program page window will consider its access to be either internal or external as defined in Table 16-10.
Table 16-10. External/Internal Page Window Access
pag_sw1:pag_sw0 00 Partitioning 876K off-Chip, 128K on-Chip 768K off-chip, 256K on-chip 512K off-chip, 512K on-chip 0K off-chip, 1M on-chip PIX5:0 Value $00-$37 $38-$3F $00-$2F $30-$3F $00-$1F $20-$3F N/A $00-$3F Page Window Access External Internal External Internal External Internal External Internal
01
10
11
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 447
Chapter 16 Module Mapping Control (MMC) Block Description
NOTE The partitioning as defined in Table 16-10 applies only to the allocated memory space and the actual on-chip memory sizes implemented in the system may differ. Please refer to the device overview chapter for actual sizes. The PPAGE register holds the page select value for the program page window. The value of the PPAGE register can be manipulated by normal read and write (some devices don't allow writes in some modes) instructions as well as the CALL and RTC instructions. Control registers, vector spaces, and a portion of on-chip memory are located in unpaged portions of the 64K byte physical address space. The stack and I/O addresses should also be in unpaged memory to make them accessible from any page. The starting address of a service routine must be located in unpaged memory because the 16-bit exception vectors cannot point to addresses in paged memory. However, a service routine can call other routines that are in paged memory. The upper 16K byte block of memory space ($C000-$FFFF) is unpaged. It is recommended that all reset and interrupt vectors point to locations in this area.
16.4.3.1
CALL and Return from Call Instructions
CALL and RTC are uninterruptable instructions that automate page switching in the program expansion window. CALL is similar to a JSR instruction, but the subroutine that is called can be located anywhere in the normal 64K byte address space or on any page of program expansion memory. CALL calculates and stacks a return address, stacks the current PPAGE value, and writes a new instruction-supplied value to PPAGE. The PPAGE value controls which of the 64 possible pages is visible through the 16K byte expansion window in the 64K byte memory map. Execution then begins at the address of the called subroutine. During the execution of a CALL instruction, the CPU: * Writes the old PPAGE value into an internal temporary register and writes the new instruction-supplied PPAGE value into the PPAGE register. * Calculates the address of the next instruction after the CALL instruction (the return address), and pushes this 16-bit value onto the stack. * Pushes the old PPAGE value onto the stack. * Calculates the effective address of the subroutine, refills the queue, and begins execution at the new address on the selected page of the expansion window. This sequence is uninterruptable; there is no need to inhibit interrupts during CALL execution. A CALL can be performed from any address in memory to any other address. The PPAGE value supplied by the instruction is part of the effective address. For all addressing mode variations except indexed-indirect modes, the new page value is provided by an immediate operand in the instruction. In indexed-indirect variations of CALL, a pointer specifies memory locations where the new page value and the address of the called subroutine are stored. Using indirect addressing for both the new page value and the address within the page allows values calculated at run time rather than immediate values that must be known at the time of assembly.
MC9S12NE64 Data Sheet, Rev 1.0 448 Freescale Semiconductor
Functional Description
The RTC instruction terminates subroutines invoked by a CALL instruction. RTC unstacks the PPAGE value and the return address and refills the queue. Execution resumes with the next instruction after the CALL. During the execution of an RTC instruction, the CPU: * Pulls the old PPAGE value from the stack * Pulls the 16-bit return address from the stack and loads it into the PC * Writes the old PPAGE value into the PPAGE register * Refills the queue and resumes execution at the return address This sequence is uninterruptable; an RTC can be executed from anywhere in memory, even from a different page of extended memory in the expansion window. The CALL and RTC instructions behave like JSR and RTS, except they use more execution cycles. Therefore, routinely substituting CALL/RTC for JSR/RTS is not recommended. JSR and RTS can be used to access subroutines that are on the same page in expanded memory. However, a subroutine in expanded memory that can be called from other pages must be terminated with an RTC. And the RTC unstacks a PPAGE value. So any access to the subroutine, even from the same page, must use a CALL instruction so that the correct PPAGE value is in the stack.
16.4.3.2
Extended Address (XAB19:14) and ECS Signal Functionality
If the EMK bit in the MODE register is set (see MEBI block description chapter) the PIX5:0 values will be output on XAB19:14 respectively (port K bits 5:0) when the system is addressing within the physical program page window address space ($8000-$BFFF) and is in an expanded mode. When addressing anywhere else within the physical address space (outside of the paging space), the XAB19:14 signals will be assigned a constant value based upon the physical address space selected. In addition, the active-low emulation chip select signal, ECS, will likewise function based upon the assigned memory allocation. In the cases of 48K byte and 64K byte allocated physical FLASH/ROM space, the operation of the ECS signal will additionally depend upon the state of the ROMHM bit (see Section 16.3.2.4, "Miscellaneous System Control Register (MISC)") in the MISC register. Table 16-11, Table 16-12, Table 16-13, and Table 16-14 summarize the functionality of these signals based upon the allocated memory configuration. Again, this signal information is only available externally when the EMK bit is set and the system is in an expanded mode.
Table 16-11. 0K Byte Physical FLASH/ROM Allocated
Address Space $0000-$3FFF $4000-$7FFF $8000-$BFFF $C000-$FFFF Page Window Access N/A N/A N/A N/A ROMHM N/A N/A N/A N/A ECS 1 1 0 0 XAB19:14 $3D $3E PIX5:0 $3F
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 449
Chapter 16 Module Mapping Control (MMC) Block Description
Table 16-12. 16K Byte Physical FLASH/ROM Allocated
Address Space $0000-$3FFF $4000-$7FFF $8000-$BFFF $C000-$FFFF Page Window Access N/A N/A N/A N/A ROMHM N/A N/A N/A N/A ECS 1 1 1 0 XAB19:14 $3D $3E PIX5:0 $3F
Table 16-13. 48K Byte Physical FLASH/ROM Allocated
Address Space $0000-$3FFF $4000-$7FFF Page Window Access N/A N/A N/A $8000-$BFFF External Internal $C000-$FFFF N/A ROMHM N/A 0 1 N/A N/A N/A ECS 1 0 1 1 0 0 $3F PIX5:0 XAB19:14 $3D $3E
Table 16-14. 64K Byte Physical FLASH/ROM Allocated
Address Space $0000-$3FFF Page Window Access N/A N/A $4000-$7FFF N/A N/A $8000-$BFFF External Internal $C000-$FFFF N/A ROMHM 0 1 0 1 N/A N/A N/A ECS 0 1 0 1 1 0 0 $3F PIX5:0 $3E XAB19:14 $3D
A graphical example of a memory paging for a system configured as 1M byte on-chip FLASH/ROM with 64K allocated physical space is given in Figure 16-11.
MC9S12NE64 Data Sheet, Rev 1.0 450 Freescale Semiconductor
Functional Description
$0000 61
16K FLASH (UNPAGED)
$4000
62
16K FLASH (UNPAGED) ONE 16K FLASH/ROM PAGE ACCESSIBLE AT A TIME (SELECTED BY PPAGE = 0 TO 63) $8000 0 1 2 3 59 60 61 62 63
16K FLASH (PAGED)
$C000 63 These 16K FLASH/ROM pages accessible from $0000 to $7FFF if selected by the ROMHM bit in the MISC register. 16K FLASH (UNPAGED)
$FF00 $FFFF VECTORS NORMAL SINGLE CHIP
Figure 16-11. Memory Paging Example: 1M Byte On-Chip FLASH/ROM, 64K Allocation
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 451
Chapter 16 Module Mapping Control (MMC) Block Description
MC9S12NE64 Data Sheet, Rev 1.0 452 Freescale Semiconductor
Chapter 17 Background Debug Module (BDM) Block Description
17.1 Introduction
This section describes the functionality of the background debug module (BDM) sub-block of the HCS12 core platform. A block diagram of the BDM is shown in Figure 17-1.
HOST SYSTEM BKGD 16-BIT SHIFT REGISTER
ADDRESS ENTAG BDMACT TRACE INSTRUCTION DECODE AND EXECUTION BUS INTERFACE AND CONTROL LOGIC DATA CLOCKS
SDV ENBDM
STANDARD BDM FIRMWARE LOOKUP TABLE
CLKSW
Figure 17-1. BDM Block Diagram
The background debug module (BDM) sub-block is a single-wire, background debug system implemented in on-chip hardware for minimal CPU intervention. All interfacing with the BDM is done via the BKGD pin. BDMV4 has enhanced capability for maintaining synchronization between the target and host while allowing more flexibility in clock rates. This includes a sync signal to show the clock rate and a handshake signal to indicate when an operation is complete. The system is backwards compatible with older external interfaces.
17.1.1
* * * * * * * * *
Features
Single-wire communication with host development system BDMV4 (and BDM2): Enhanced capability for allowing more flexibility in clock rates BDMV4: SYNC command to determine communication rate BDMV4: GO_UNTIL command BDMV4: Hardware handshake protocol to increase the performance of the serial communication Active out of reset in special single-chip mode Nine hardware commands using free cycles, if available, for minimal CPU intervention Hardware commands not requiring active BDM 15 firmware commands execute from the standard BDM firmware lookup table
MC9S12NE64 Data Sheet, Rev 1.0
Freescale Semiconductor
453
Chapter 17 Background Debug Module (BDM) Block Description
* * * *
Instruction tagging capability Software control of BDM operation during wait mode Software selectable clocks When secured, hardware commands are allowed to access the register space in Special Single-Chip mode, if the FLASH and EEPROM erase tests fail.
17.1.2
Modes of Operation
BDM is available in all operating modes but must be enabled before firmware commands are executed. Some system peripherals may have a control bit which allows suspending the peripheral function during background debug mode.
17.1.2.1
Regular Run Modes
All of these operations refer to the part in run mode. The BDM does not provide controls to conserve power during run mode. * Normal operation General operation of the BDM is available and operates the same in all normal modes. * Special single-chip mode In special single-chip mode, background operation is enabled and active out of reset. This allows programming a system with blank memory. * Special peripheral mode BDM is enabled and active immediately out of reset. BDM can be disabled by clearing the BDMACT bit in the BDM status (BDMSTS) register. The BDM serial system should not be used in special peripheral mode. * Emulation modes General operation of the BDM is available and operates the same as in normal modes.
17.1.2.2
Secure Mode Operation
If the part is in secure mode, the operation of the BDM is reduced to a small subset of it's regular run mode operation. Secure operation prevents access to FLASH or EEPROM other than allowing erasure.
17.1.2.3
*
Low-Power Modes
*
Wait mode The BDM cannot be used in wait mode if the system disables the clocks to the BDM. There is a clearing mechanism associated with the WAIT instruction when the clocks to the BDM (CPU core platform) are disabled. As the clocks restart from wait mode, the BDM receives a soft reset (clearing any command in progress) and the ACK function will be disabled. This is a change from previous BDM modules. Stop mode
MC9S12NE64 Data Sheet, Rev 1.0
454
Freescale Semiconductor
External Signal Description
The BDM is completely shutdown in stop mode. There is a clearing mechanism associated with the STOP instruction. STOP must be enabled and the part must go into stop mode for this to occur. As the clocks restart from stop mode, the BDM receives a soft reset (clearing any command in progress) and the ACK function will be disabled. This is a change from previous BDM modules.
17.2
External Signal Description
A single-wire interface pin is used to communicate with the BDM system. Two additional pins are used for instruction tagging. These pins are part of the multiplexed external bus interface (MEBI) sub-block and all interfacing between the MEBI and BDM is done within the core interface boundary. Functional descriptions of the pins are provided below for completeness. * BKGD -- Background interface pin * TAGHI -- High byte instruction tagging pin * TAGLO -- Low byte instruction tagging pin * BKGD and TAGHI share the same pin. * TAGLO and LSTRB share the same pin. NOTE Generally these pins are shared as described, but it is best to check the device overview chapter to make certain. All MCUs at the time of this writing have followed this pin sharing scheme.
17.2.1
Background Interface Pin (BKGD)
Debugging control logic communicates with external devices serially via the single-wire background interface pin (BKGD). During reset, this pin is a mode select input which selects between normal and special modes of operation. After reset, this pin becomes the dedicated serial interface pin for the background debug mode.
17.2.2
High Byte Instruction Tagging Pin (TAGHI)
This pin is used to tag the high byte of an instruction. When instruction tagging is on, a logic 0 at the falling edge of the external clock (ECLK) tags the high half of the instruction word being read into the instruction queue.
17.2.3
Low Byte Instruction Tagging Pin (TAGLO)
This pin is used to tag the low byte of an instruction. When instruction tagging is on and low strobe is enabled, a logic 0 at the falling edge of the external clock (ECLK) tags the low half of the instruction word being read into the instruction queue.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 455
Chapter 17 Background Debug Module (BDM) Block Description
17.3
Memory Map and Register Descriptions
A summary of the registers associated with the BDM is shown in Table 17-1. Registers are accessed by host-driven communications to the BDM hardware using READ_BD and WRITE_BD commands. Detailed descriptions of the registers and associated bits are given in the subsections that follow.
Table 17-1. BDM Register Map Summary
Address Register Name Bit 7 6 5 4 3 2 1 Bit 0
$FF00
Reserved
Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write: Read: Write:
X
X
X
X
X
X
0
0
$FF01
BDMSTS
ENBDM BDMACT ENTAG
SDV
TRACE
CLKSW
UNSEC
0
$FF02
Reserved
X
X
X
X
X
X
X
X
$FF03
Reserved
X
X
X
X
X
X
X
X
$FF04
Reserved
X
X
X
X
X
X
X
X
$FF05
Reserved
X
X
X
X
X
X
X
X
$FF06
BDMCCR
CCR7
CCR6
CCR5
CCR4
CCR3
CCR2
CCR1
CCR0
$FF07
BDMINR
0
REG14
REG13
REG12
REG11
0
0
0
= Unimplemented
X = Indeterminate
MC9S12NE64 Data Sheet, Rev 1.0 456 Freescale Semiconductor
Memory Map and Register Descriptions
17.3.1
BDM Status Register (BDMSTS)
7 R W Reset: ENBDM 6 BDMACT 5 ENTAG 4 SDV 3 TRACE 2 CLKSW 1 UNSEC 0 0
Register Address: $FF01
Special single-chip mode: Special peripheral mode: All other modes:
0 0 0
1 1 0
0 0 0
0 0 0
0 0 0
0 0 0
0 0 0
0 0 0
= Unimplemented or Reserved Figure 17-2. BDM Status Register (BDMSTS)
Read: All modes through BDM operation Write: All modes but subject to the following: -- BDMACT can only be set by BDM hardware upon entry into BDM. It can only be cleared by the standard BDM firmware lookup table upon exit from BDM active mode. -- CLKSW can only be written via BDM hardware or standard BDM firmware write commands. -- All other bits, while writable via BDM hardware or standard BDM firmware write commands, should only be altered by the BDM hardware or standard firmware lookup table as part of BDM command execution. -- ENBDM should only be set via a BDM hardware command if the BDM firmware commands are needed. (This does not apply in special single-chip mode).
ENBDM -- Enable BDM This bit controls whether the BDM is enabled or disabled. When enabled, BDM can be made active to allow firmware commands to be executed. When disabled, BDM cannot be made active but BDM hardware commands are still allowed. 1 = BDM enabled 0 = BDM disabled
NOTE ENBDM is set by the firmware immediately out of reset in special single-chip mode. In secure mode, this bit will not be set by the firmware until after the EEPROM and FLASH erase verify tests are complete.
BDMACT -- BDM active status This bit becomes set upon entering BDM. The standard BDM firmware lookup table is then enabled and put into the memory map. BDMACT is cleared by a carefully timed store instruction in the standard BDM firmware as part of the exit sequence to return to user code and remove the BDM memory from the map. 1 = BDM active 0 = BDM not active
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 457
Chapter 17 Background Debug Module (BDM) Block Description
ENTAG -- Tagging enable This bit indicates whether instruction tagging in enabled or disabled. It is set when the TAGGO command is executed and cleared when BDM is entered. The serial system is disabled and the tag function enabled 16 cycles after this bit is written. BDM cannot process serial commands while tagging is active. 1 = Tagging enabled 0 = Tagging not enabled or BDM active SDV -- Shift data valid This bit is set and cleared by the BDM hardware. It is set after data has been transmitted as part of a firmware read command or after data has been received as part of a firmware write command. It is cleared when the next BDM command has been received or BDM is exited. SDV is used by the standard BDM firmware to control program flow execution. 1 = Data phase of command is complete 0 = Data phase of command not complete TRACE -- TRACE1 BDM firmware command is being executed This bit gets set when a BDM TRACE1 firmware command is first recognized. It will stay set as long as continuous back-to-back TRACE1 commands are executed. This bit will get cleared when the next command that is not a TRACE1 command is recognized. 1 = TRACE1 command is being executed 0 = TRACE1 command is not being executed CLKSW -- Clock switch The CLKSW bit controls which clock the BDM operates with. It is only writable from a hardware BDM command. A 150 cycle delay at the clock speed that is active during the data portion of the command will occur before the new clock source is guaranteed to be active. The start of the next BDM command uses the new clock for timing subsequent BDM communications. Table 17-2 shows the resulting BDM clock source based on the CLKSW and the PLLSEL (Pll select from the clock and reset generator) bits.
Table 17-2. BDM Clock Sources
PLLSEL 0 0 1 1 CLKSW 0 1 0 1 Bus clock Bus clock Alternate clock (refer to the device overview chapter to determine the alternate clock source) Bus clock dependent on the PLL BDMCLK
MC9S12NE64 Data Sheet, Rev 1.0 458 Freescale Semiconductor
Memory Map and Register Descriptions
NOTE The BDM alternate clock source can only be selected when CLKSW = 0 and PLLSEL = 1. The BDM serial interface is now fully synchronized to the alternate clock source, when enabled. This eliminates frequency restriction on the alternate clock which was required on previous versions. Refer to the device overview section to determine which clock connects to the alternate clock source input. NOTE If the acknowledge function is turned on, changing the CLKSW bit will cause the ACK to be at the new rate for the write command which changes it.
UNSEC -- Unsecure This bit is only writable in special single-chip mode from the BDM secure firmware and always gets reset to zero. It is in a zero state as secure mode is entered so that the secure BDM firmware lookup table is enabled and put into the memory map along with the standard BDM firmware lookup table. The secure BDM firmware lookup table verifies that the on-chip EEPROM and FLASH EEPROM are erased. This being the case, the UNSEC bit is set and the BDM program jumps to the start of the standard BDM firmware lookup table and the secure BDM firmware lookup table is turned off. If the erase test fails, the UNSEC bit will not be asserted. 1 = System is in a unsecured mode 0 = System is in a secured mode
NOTE When UNSEC is set, security is off and the user can change the state of the secure bits in the on-chip FLASH EEPROM. Note that if the user does not change the state of the bits to "unsecured" mode, the system will be secured again when it is next taken out of reset.
17.3.2
BDM CCR Holding Register (BDMCCR)
7 R W Reset: CCR7 0 6 CCR6 0 5 CCR5 0 4 CCR4 0 3 CCR3 0 2 CCR2 0 1 CCR1 0 0 CCR0 0
Register Address: $FF06
Figure 17-3. BDM CCR Holding Register (BDMCCR)
Read: All modes Write: All modes
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 459
Chapter 17 Background Debug Module (BDM) Block Description
NOTE When BDM is made active, the CPU stores the value of the CCR register in the BDMCCR register. However, out of special single-chip reset, the BDMCCR is set to $D8 and not $D0 which is the reset value of the CCR register. When entering background debug mode, the BDM CCR holding register is used to save the contents of the condition code register of the user's program. It is also used for temporary storage in the standard BDM firmware mode. The BDM CCR holding register can be written to modify the CCR value.
17.3.3
BDM Internal Register Position Register (BDMINR)
7 R W Reset: 0 0 0 0 0 0 0 0 0 6 REG14 5 REG13 4 REG12 3 REG11 2 0 1 0 0 0
Register Address: $FF07
= Unimplemented or Reserved Figure 17-4. BDM Internal Register Position (BDMINR)
Read: All modes Write: Never
REG14-REG11 -- Internal register map position These four bits show the state of the upper five bits of the base address for the system's relocatable register block. BDMINR is a shadow of the INITRG register which maps the register block to any 2K byte space within the first 32K bytes of the 64K byte address space.
17.4
Functional Description
The BDM receives and executes commands from a host via a single wire serial interface. There are two types of BDM commands, namely, hardware commands and firmware commands. Hardware commands are used to read and write target system memory locations and to enter active background debug mode, see Section 17.4.3, "BDM Hardware Commands." Target system memory includes all memory that is accessible by the CPU. Firmware commands are used to read and write CPU resources and to exit from active background debug mode, see Section 17.4.4, "Standard BDM Firmware Commands." The CPU resources referred to are the accumulator (D), X index register (X), Y index register (Y), stack pointer (SP), and program counter (PC). Hardware commands can be executed at any time and in any mode excluding a few exceptions as highlighted, see Section 17.4.3, "BDM Hardware Commands." Firmware commands can only be executed when the system is in active background debug mode (BDM).
MC9S12NE64 Data Sheet, Rev 1.0 460 Freescale Semiconductor
Functional Description
17.4.1
Security
If the user resets into special single-chip mode with the system secured, a secured mode BDM firmware lookup table is brought into the map overlapping a portion of the standard BDM firmware lookup table. The secure BDM firmware verifies that the on-chip EEPROM and FLASH EEPROM are erased. This being the case, the UNSEC bit will get set. The BDM program jumps to the start of the standard BDM firmware and the secured mode BDM firmware is turned off and all BDM commands are allowed. If the EEPROM or FLASH do not verify as erased, the BDM firmware sets the ENBDM bit, without asserting UNSEC, and the firmware enters a loop. This causes the BDM hardware commands to become enabled, but does not enable the firmware commands. This allows the BDM hardware to be used to erase the EEPROM and FLASH. After execution of the secure firmware, regardless of the results of the erase tests, the CPU registers, INITEE and PPAGE, will no longer be in their reset state.
17.4.2
Enabling and Activating BDM
The system must be in active BDM to execute standard BDM firmware commands. BDM can be activated only after being enabled. BDM is enabled by setting the ENBDM bit in the BDM status (BDMSTS) register. The ENBDM bit is set by writing to the BDM status (BDMSTS) register, via the single-wire interface, using a hardware command such as WRITE_BD_BYTE. After being enabled, BDM is activated by one of the following1: * Hardware BACKGROUND command * BDM external instruction tagging mechanism * CPU BGND instruction * Breakpoint sub-block's force or tag mechanism2 When BDM is activated, the CPU finishes executing the current instruction and then begins executing the firmware in the standard BDM firmware lookup table. When BDM is activated by the breakpoint sub-block, the type of breakpoint used determines if BDM becomes active before or after execution of the next instruction. NOTE If an attempt is made to activate BDM before being enabled, the CPU resumes normal instruction execution after a brief delay. If BDM is not enabled, any hardware BACKGROUND commands issued are ignored by the BDM and the CPU is not delayed. In active BDM, the BDM registers and standard BDM firmware lookup table are mapped to addresses $FF00 to $FFFF. BDM registers are mapped to addresses $FF00 to $FF07. The BDM uses these registers which are readable anytime by the BDM. However, these registers are not readable by user programs.
1. BDM is enabled and active immediately out of special single-chip reset. 2. This method is only available on systems that have a a breakpoint or a debug sub-block. MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 461
Chapter 17 Background Debug Module (BDM) Block Description
17.4.3
BDM Hardware Commands
Hardware commands are used to read and write target system memory locations and to enter active background debug mode. Target system memory includes all memory that is accessible by the CPU such as on-chip RAM, EEPROM, FLASH EEPROM, I/O and control registers, and all external memory. Hardware commands are executed with minimal or no CPU intervention and do not require the system to be in active BDM for execution, although, they can still be executed in this mode. When executing a hardware command, the BDM sub-block waits for a free CPU bus cycle so that the background access does not disturb the running application program. If a free cycle is not found within 128 clock cycles, the CPU is momentarily frozen so that the BDM can steal a cycle. When the BDM finds a free cycle, the operation does not intrude on normal CPU operation provided that it can be completed in a single cycle. However, if an operation requires multiple cycles the CPU is frozen until the operation is complete, even though the BDM found a free cycle. The BDM hardware commands are listed in Table 17-3.
Table 17-3. Hardware Commands
Command BACKGROUND ACK_ENABLE ACK_DISABLE READ_BD_BYTE READ_BD_WORD READ_BYTE READ_WORD WRITE_BD_BYTE WRITE_BD_WORD WRITE_BYTE WRITE_WORD Opcode (hex) 90 D5 D6 E4 EC E0 E8 C4 CC C0 C8 Data None None None 16-bit address 16-bit data out 16-bit address 16-bit data out 16-bit address 16-bit data out 16-bit address 16-bit data out 16-bit address 16-bit data in 16-bit address 16-bit data in 16-bit address 16-bit data in 16-bit address 16-bit data in Description Enter background mode if firmware is enabled. If enabled, an ACK will be issued when the part enters active background mode. Enable handshake. Issues an ACK pulse after the command is executed. Disable handshake. This command does not issue an ACK pulse. Read from memory with standard BDM firmware lookup table in map. Odd address data on low byte; even address data on high byte. Read from memory with standard BDM firmware lookup table in map. Must be aligned access. Read from memory with standard BDM firmware lookup table out of map. Odd address data on low byte; even address data on high byte. Read from memory with standard BDM firmware lookup table out of map. Must be aligned access. Write to memory with standard BDM firmware lookup table in map. Odd address data on low byte; even address data on high byte. Write to memory with standard BDM firmware lookup table in map. Must be aligned access. Write to memory with standard BDM firmware lookup table out of map. Odd address data on low byte; even address data on high byte. Write to memory with standard BDM firmware lookup table out of map. Must be aligned access.
NOTE: If enabled, ACK will occur when data is ready for transmission for all BDM READ commands and will occur after the write is complete for all BDM WRITE commands.
MC9S12NE64 Data Sheet, Rev 1.0 462 Freescale Semiconductor
Functional Description
The READ_BD and WRITE_BD commands allow access to the BDM register locations. These locations are not normally in the system memory map but share addresses with the application in memory. To distinguish between physical memory locations that share the same address, BDM memory resources are enabled just for the READ_BD and WRITE_BD access cycle. This allows the BDM to access BDM locations unobtrusively, even if the addresses conflict with the application memory map.
17.4.4
Standard BDM Firmware Commands
Firmware commands are used to access and manipulate CPU resources. The system must be in active BDM to execute standard BDM firmware commands, see Section 17.4.2, "Enabling and Activating BDM." Normal instruction execution is suspended while the CPU executes the firmware located in the standard BDM firmware lookup table. The hardware command BACKGROUND is the usual way to activate BDM. As the system enters active BDM, the standard BDM firmware lookup table and BDM registers become visible in the on-chip memory map at $FF00-$FFFF, and the CPU begins executing the standard BDM firmware. The standard BDM firmware watches for serial commands and executes them as they are received. The firmware commands are shown in Table 17-4.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 463
Chapter 17 Background Debug Module (BDM) Block Description
Table 17-4. Firmware Commands
Command1 READ_NEXT READ_PC READ_D READ_X READ_Y READ_SP WRITE_NEXT WRITE_PC WRITE_D WRITE_X WRITE_Y WRITE_SP GO GO_UNTIL2 TRACE1 Opcode (hex) 62 63 64 65 66 67 42 43 44 45 46 47 08 0C 10 Data 16-bit data out 16-bit data out 16-bit data out 16-bit data out 16-bit data out 16-bit data out 16-bit data in 16-bit data in 16-bit data in 16-bit data in 16-bit data in 16-bit data in none none none Description Increment X by 2 (X = X + 2), then read word X points to. Read program counter. Read D accumulator. Read X index register. Read Y index register. Read stack pointer. Increment X by 2 (X = X + 2), then write word to location pointed to by X. Write program counter. Write D accumulator. Write X index register. Write Y index register. Write stack pointer. Go to user program. If enabled, ACK will occur when leaving active background mode. Go to user program. If enabled, ACK will occur upon returning to active background mode. Execute one user instruction then return to active BDM. If enabled, ACK will occur upon returning to active background mode. Enable tagging and go to user program. There is no ACK pulse related to this command.
TAGGO
1
18
none
If enabled, ACK will occur when data is ready for transmission for all BDM READ commands and will occur after the write is complete for all BDM WRITE commands. 2 Both WAIT (with clocks to the S12 CPU core disabled) and STOP disable the ACK function. The GO_UNTIL command will not get an Acknowledge if one of these two CPU instructions occurs before the "UNTIL" instruction. This can be a problem for any instruction that uses ACK, but GO_UNTIL is a lot more difficult for the development tool to time-out.
17.4.5
BDM Command Structure
Hardware and firmware BDM commands start with an 8-bit opcode followed by a 16-bit address and/or a 16-bit data word depending on the command. All the read commands return 16 bits of data despite the byte or word implication in the command name. NOTE 8-bit reads return 16-bits of data, of which, only one byte will contain valid data. If reading an even address, the valid data will appear in the MSB. If reading an odd address, the valid data will appear in the LSB.
MC9S12NE64 Data Sheet, Rev 1.0 464 Freescale Semiconductor
Functional Description
NOTE 16-bit misaligned reads and writes are not allowed. If attempted, the BDM will ignore the least significant bit of the address and will assume an even address from the remaining bits. For hardware data read commands, the external host must wait 150 bus clock cycles after sending the address before attempting to obtain the read data. This is to be certain that valid data is available in the BDM shift register, ready to be shifted out. For hardware write commands, the external host must wait 150 bus clock cycles after sending the data to be written before attempting to send a new command. This is to avoid disturbing the BDM shift register before the write has been completed. The 150 bus clock cycle delay in both cases includes the maximum 128 cycle delay that can be incurred as the BDM waits for a free cycle before stealing a cycle. For firmware read commands, the external host should wait 44 bus clock cycles after sending the command opcode and before attempting to obtain the read data. This includes the potential of an extra 7 cycles when the access is external with a narrow bus access (+1 cycle) and / or a stretch (+1, 2, or 3 cycles), (7 cycles could be needed if both occur). The 44 cycle wait allows enough time for the requested data to be made available in the BDM shift register, ready to be shifted out. NOTE This timing has increased from previous BDM modules due to the new capability in which the BDM serial interface can potentially run faster than the bus. On previous BDM modules this extra time could be hidden within the serial time. For firmware write commands, the external host must wait 32 bus clock cycles after sending the data to be written before attempting to send a new command. This is to avoid disturbing the BDM shift register before the write has been completed. The external host should wait 64 bus clock cycles after a TRACE1 or GO command before starting any new serial command. This is to allow the CPU to exit gracefully from the standard BDM firmware lookup table and resume execution of the user code. Disturbing the BDM shift register prematurely may adversely affect the exit from the standard BDM firmware lookup table. NOTE If the bus rate of the target processor is unknown or could be changing, it is recommended that the ACK (acknowledge function) be used to indicate when an operation is complete. When using ACK, the delay times are automated.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 465
Chapter 17 Background Debug Module (BDM) Block Description
Figure 17-5 represents the BDM command structure. The command blocks illustrate a series of eight bit times starting with a falling edge. The bar across the top of the blocks indicates that the BKGD line idles in the high state. The time for an 8-bit command is 8 x 16 target clock cycles.1
8 BITS AT 16 TC/BIT HARDWARE READ COMMAND 16 BITS AT 16 TC/BIT ADDRESS 150-BC DELAY 16 BITS AT 16 TC/BIT DATA 150-BC DELAY HARDWARE WRITE COMMAND 44-BC DELAY FIRMWARE READ COMMAND DATA 32-BC DELAY FIRMWARE WRITE COMMAND 64-BC DELAY GO, TRACE COMMAND NEXT COMMAND BC = BUS CLOCK CYCLES TC = TARGET CLOCK CYCLES DATA NEXT COMMAND NEXT COMMAND ADDRESS DATA NEXT COMMAND NEXT COMMAND
Figure 17-5. BDM Command Structure
17.4.6
BDM Serial Interface
The BDM communicates with external devices serially via the BKGD pin. During reset, this pin is a mode select input which selects between normal and special modes of operation. After reset, this pin becomes the dedicated serial interface pin for the BDM. The BDM serial interface is timed using the clock selected by the CLKSW bit in the status register see Section 17.3.1, "BDM Status Register (BDMSTS)." This clock will be referred to as the target clock in the following explanation. The BDM serial interface uses a clocking scheme in which the external host generates a falling edge on the BKGD pin to indicate the start of each bit time. This falling edge is sent for every bit whether data is transmitted or received. Data is transferred most significant bit (MSB) first at 16 target clock cycles per bit. The interface times out if 512 clock cycles occur between falling edges from the host. The BKGD pin is a pseudo open-drain pin and has an weak on-chip active pull-up that is enabled at all times. It is assumed that there is an external pull-up and that drivers connected to BKGD do not typically drive the high level. Because R-C rise time could be unacceptably long, the target system and host provide brief driven-high (speedup) pulses to drive BKGD to a logic 1. The source of this speedup pulse is the host for transmit cases and the target for receive cases.
1. Target clock cycles are cycles measured using the target MCU's serial clock rate. See Section 17.4.6, "BDM Serial Interface," and Section 17.3.1, "BDM Status Register (BDMSTS)," for information on how serial clock rate is selected. MC9S12NE64 Data Sheet, Rev 1.0 466 Freescale Semiconductor
Functional Description
The timing for host-to-target is shown in Figure 17-6 and that of target-to-host in Figure 17-7 and Figure 17-8. All four cases begin when the host drives the BKGD pin low to generate a falling edge. Because the host and target are operating from separate clocks, it can take the target system up to one full clock cycle to recognize this edge. The target measures delays from this perceived start of the bit time while the host measures delays from the point it actually drove BKGD low to start the bit up to one target clock cycle earlier. Synchronization between the host and target is established in this manner at the start of every bit time. Figure 17-6 shows an external host transmitting a logic 1 and transmitting a logic 0 to the BKGD pin of a target system. The host is asynchronous to the target, so there is up to a one clock-cycle delay from the host-generated falling edge to where the target recognizes this edge as the beginning of the bit time. Ten target clock cycles later, the target senses the bit level on the BKGD pin. Internal glitch detect logic requires the pin be driven high no later that eight target clock cycles after the falling edge for a logic 1 transmission. Because the host drives the high speedup pulses in these two cases, the rising edges look like digitally driven signals.
CLOCK TARGET SYSTEM
HOST TRANSMIT 1
HOST TRANSMIT 0 PERCEIVED START OF BIT TIME 10 CYCLES SYNCHRONIZATION UNCERTAINTY TARGET SENSES BIT EARLIEST START OF NEXT BIT
Figure 17-6. BDM Host-to-Target Serial Bit Timing
The receive cases are more complicated. Figure 17-7 shows the host receiving a logic 1 from the target system. Because the host is asynchronous to the target, there is up to one clock-cycle delay from the host-generated falling edge on BKGD to the perceived start of the bit time in the target. The host holds the BKGD pin low long enough for the target to recognize it (at least two target clock cycles). The host must release the low drive before the target drives a brief high speedup pulse seven target clock cycles after the perceived start of the bit time. The host should sample the bit level about 10 target clock cycles after it started the bit time.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 467
Chapter 17 Background Debug Module (BDM) Block Description
CLOCK TARGET SYSTEM
HOST DRIVE TO BKGD PIN TARGET SYSTEM SPEEDUP PULSE PERCEIVED START OF BIT TIME R-C RISE BKGD PIN
HIGH-IMPEDANCE
HIGH-IMPEDANCE
HIGH-IMPEDANCE
10 CYCLES 10 CYCLES EARLIEST START OF NEXT BIT
HOST SAMPLES BKGD PIN
Figure 17-7. BDM Target-to-Host Serial Bit Timing (Logic 1)
Figure 17-8 shows the host receiving a logic 0 from the target. Because the host is asynchronous to the target, there is up to a one clock-cycle delay from the host-generated falling edge on BKGD to the start of the bit time as perceived by the target. The host initiates the bit time but the target finishes it. Because the target wants the host to receive a logic 0, it drives the BKGD pin low for 13 target clock cycles then briefly drives it high to speed up the rising edge. The host samples the bit level about 10 target clock cycles after starting the bit time.
CLOCK TARGET SYS.
HOST DRIVE TO BKGD PIN TARGET SYS. DRIVE AND SPEEDUP PULSE PERCEIVED START OF BIT TIME BKGD PIN
HIGH-IMPEDANCE SPEEDUP PULSE
10 CYCLES 10 CYCLES EARLIEST START OF NEXT BIT
HOST SAMPLES BKGD PIN
Figure 17-8. BDM Target-to-Host Serial Bit Timing (Logic 0)
MC9S12NE64 Data Sheet, Rev 1.0 468 Freescale Semiconductor
Functional Description
17.4.7
Serial Interface Hardware Handshake Protocol
BDM commands that require CPU execution are ultimately treated at the MCU bus rate. Because the BDM clock source can be asynchronously related to the bus frequency, when CLKSW = 0, it is very helpful to provide a handshake protocol in which the host could determine when an issued command is executed by the CPU. The alternative is to always wait the amount of time equal to the appropriate number of cycles at the slowest possible rate the clock could be running. This sub-section will describe the hardware handshake protocol. The hardware handshake protocol signals to the host controller when an issued command was successfully executed by the target. This protocol is implemented by a 16 serial clock cycle low pulse followed by a brief speedup pulse in the BKGD pin. This pulse is generated by the target MCU when a command, issued by the host, has been successfully executed (see Figure 17-9). This pulse is referred to as the ACK pulse. After the ACK pulse has finished: the host can start the bit retrieval if the last issued command was a read command, or start a new command if the last command was a write command or a control command (BACKGROUND, GO, GO_UNTIL, or TRACE1). The ACK pulse is not issued earlier than 32 serial clock cycles after the BDM command was issued. The end of the BDM command is assumed to be the 16th tick of the last bit. This minimum delay assures enough time for the host to perceive the ACK pulse. Note also that, there is no upper limit for the delay between the command and the related ACK pulse, because the command execution depends upon the CPU bus frequency, which in some cases could be very slow compared to the serial communication rate. This protocol allows a great flexibility for the POD designers, because it does not rely on any accurate time measurement or short response time to any event in the serial communication.
BDM CLOCK (TARGET MCU)
16 CYCLES TARGET TRANSMITS ACK PULSE HIGH-IMPEDANCE 32 CYCLES SPEEDUP PULSE MINIMUM DELAY FROM THE BDM COMMAND BKGD PIN EARLIEST START OF NEXT BIT HIGH-IMPEDANCE
16th TICK OF THE LAST COMMAD BIT
Figure 17-9. Target Acknowledge Pulse (ACK)
NOTE If the ACK pulse was issued by the target, the host assumes the previous command was executed. If the CPU enters WAIT or STOP prior to executing a hardware command, the ACK pulse will not be issued meaning that the BDM command was not executed. After entering wait or stop mode, the BDM command is no longer pending.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 469
Chapter 17 Background Debug Module (BDM) Block Description
Figure 17-10 shows the ACK handshake protocol in a command level timing diagram. The READ_BYTE instruction is used as an example. First, the 8-bit instruction opcode is sent by the host, followed by the address of the memory location to be read. The target BDM decodes the instruction. A bus cycle is grabbed (free or stolen) by the BDM and it executes the READ_BYTE operation. Having retrieved the data, the BDM issues an ACK pulse to the host controller, indicating that the addressed byte is ready to be retrieved. After detecting the ACK pulse, the host initiates the byte retrieval process. Note that data is sent in the form of a word and the host needs to determine which is the appropriate byte based on whether the address was odd or even.
TARGET HOST NEW BDM COMMAND HOST BDM ISSUES THE ACK PULSE (OUT OF SCALE) BDM EXECUTES THE READ_BYTE COMMAND TARGET
BKGD PIN
READ_BYTE HOST
BYTE ADDRESS TARGET
(2) BYTES ARE RETRIEVED
BDM DECODES THE COMMAND
Figure 17-10. Handshake Protocol at Command Level
Differently from the normal bit transfer (where the host initiates the transmission), the serial interface ACK handshake pulse is initiated by the target MCU by issuing a falling edge in the BKGD pin. The hardware handshake protocol in Figure 17-9 specifies the timing when the BKGD pin is being driven, so the host should follow this timing constraint in order to avoid the risk of an electrical conflict in the BKGD pin. NOTE The only place the BKGD pin can have an electrical conflict is when one side is driving low and the other side is issuing a speedup pulse (high). Other "highs" are pulled rather than driven. However, at low rates the time of the speedup pulse can become lengthy and so the potential conflict time becomes longer as well. The ACK handshake protocol does not support nested ACK pulses. If a BDM command is not acknowledge by an ACK pulse, the host needs to abort the pending command first in order to be able to issue a new BDM command. When the CPU enters WAIT or STOP while the host issues a command that requires CPU execution (e.g., WRITE_BYTE), the target discards the incoming command due to the WAIT or STOP being detected. Therefore, the command is not acknowledged by the target, which means that the ACK pulse will not be issued in this case. After a certain time the host should decide to abort the ACK sequence in order to be free to issue a new command. Therefore, the protocol should provide a mechanism in which a command, and therefore a pending ACK, could be aborted.
MC9S12NE64 Data Sheet, Rev 1.0 470 Freescale Semiconductor
Functional Description
NOTE Differently from a regular BDM command, the ACK pulse does not provide a time out. This means that in the case of a WAIT or STOP instruction being executed, the ACK would be prevented from being issued. If not aborted, the ACK would remain pending indefinitely. See the handshake abort procedure described in Section 17.4.8, "Hardware Handshake Abort Procedure."
17.4.8
Hardware Handshake Abort Procedure
The abort procedure is based on the SYNC command. In order to abort a command, which had not issued the corresponding ACK pulse, the host controller should generate a low pulse in the BKGD pin by driving it low for at least 128 serial clock cycles and then driving it high for one serial clock cycle, providing a speedup pulse. By detecting this long low pulse in the BKGD pin, the target executes the SYNC protocol, see Section 17.4.9, "SYNC -- Request Timed Reference Pulse," and assumes that the pending command and therefore the related ACK pulse, are being aborted. Therefore, after the SYNC protocol has been completed the host is free to issue new BDM commands. Although it is not recommended, the host could abort a pending BDM command by issuing a low pulse in the BKGD pin shorter than 128 serial clock cycles, which will not be interpreted as the SYNC command. The ACK is actually aborted when a falling edge is perceived by the target in the BKGD pin. The short abort pulse should have at least 4 clock cycles keeping the BKGD pin low, in order to allow the falling edge to be detected by the target. In this case, the target will not execute the SYNC protocol but the pending command will be aborted along with the ACK pulse. The potential problem with this abort procedure is when there is a conflict between the ACK pulse and the short abort pulse. In this case, the target may not perceive the abort pulse. The worst case is when the pending command is a read command (i.e., READ_BYTE). If the abort pulse is not perceived by the target the host will attempt to send a new command after the abort pulse was issued, while the target expects the host to retrieve the accessed memory byte. In this case, host and target will run out of synchronism. However, if the command to be aborted is not a read command the short abort pulse could be used. After a command is aborted the target assumes the next falling edge, after the abort pulse, is the first bit of a new BDM command. NOTE The details about the short abort pulse are being provided only as a reference for the reader to better understand the BDM internal behavior. It is not recommended that this procedure be used in a real application. Because the host knows the target serial clock frequency, the SYNC command (used to abort a command) does not need to consider the lower possible target frequency. In this case, the host could issue a SYNC very close to the 128 serial clock cycles length. Providing a small overhead on the pulse length in order to assure the SYNC pulse will not be misinterpreted by the target. See Section 17.4.9, "SYNC -- Request Timed Reference Pulse." Figure 17-11 shows a SYNC command being issued after a READ_BYTE, which aborts the READ_BYTE command. Note that, after the command is aborted a new command could be issued by the host computer.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 471
Chapter 17 Background Debug Module (BDM) Block Description
READ_BYTE CMD IS ABORTED BY THE SYNC REQUEST (OUT OF SCALE)
SYNC RESPONSE FROM THE TARGET (OUT OF SCALE)
BKGD PIN
READ_BYTE HOST
MEMORY ADDRESS TARGET
READ_STATUS HOST TARGET
NEW BDM COMMAND HOST TARGET
BDM DECODE AND STARTS TO EXECUTES THE READ_BYTE CMD
NEW BDM COMMAND
Figure 17-11. ACK Abort Procedure at the Command Level
NOTE Figure 17-11 does not represent the signals in a true timing scale Figure 17-12 shows a conflict between the ACK pulse and the SYNC request pulse. This conflict could occur if a POD device is connected to the target BKGD pin and the target is already in debug active mode. Consider that the target CPU is executing a pending BDM command at the exact moment the POD is being connected to the BKGD pin. In this case, an ACK pulse is issued along with the SYNC command. In this case, there is an electrical conflict between the ACK speedup pulse and the SYNC pulse. Because this is not a probable situation, the protocol does not prevent this conflict from happening.
AT LEAST 128 CYCLES BDM CLOCK (TARGET MCU) ACK PULSE TARGET MCU DRIVES TO BKGD PIN HOST DRIVES SYNC TO BKGD PIN HOST AND TARGET DRIVE TO BKGD PIN HOST SYNC REQUEST PULSE BKGD PIN HIGH-IMPEDANCE ELECTRICAL CONFLICT
SPEEDUP PULSE
16 CYCLES
Figure 17-12. ACK Pulse and SYNC Request Conflict
NOTE This information is being provided so that the MCU integrator will be aware that such a conflict could eventually occur. The hardware handshake protocol is enabled by the ACK_ENABLE and disabled by the ACK_DISABLE BDM commands. This provides backwards compatibility with the existing POD devices which are not able to execute the hardware handshake protocol. It also allows for new POD devices, that support the
MC9S12NE64 Data Sheet, Rev 1.0 472 Freescale Semiconductor
Functional Description
hardware handshake protocol, to freely communicate with the target device. If desired, without the need for waiting for the ACK pulse. The commands are described as follows: * ACK_ENABLE -- enables the hardware handshake protocol. The target will issue the ACK pulse when a CPU command is executed by the CPU. The ACK_ENABLE command itself also has the ACK pulse as a response. * ACK_DISABLE -- disables the ACK pulse protocol. In this case, the host needs to use the worst case delay time at the appropriate places in the protocol. The default state of the BDM after reset is hardware handshake protocol disabled. All the read commands will ACK (if enabled) when the data bus cycle has completed and the data is then ready for reading out by the BKGD serial pin. All the write commands will ACK (if enabled) after the data has been received by the BDM through the BKGD serial pin and when the data bus cycle is complete. See Section 17.4.3, "BDM Hardware Commands," and Section 17.4.4, "Standard BDM Firmware Commands," for more information on the BDM commands. The ACK_ENABLE sends an ACK pulse when the command has been completed. This feature could be used by the host to evaluate if the target supports the hardware handshake protocol. If an ACK pulse is issued in response to this command, the host knows that the target supports the hardware handshake protocol. If the target does not support the hardware handshake protocol the ACK pulse is not issued. In this case, the ACK_ENABLE command is ignored by the target since it is not recognized as a valid command. The BACKGROUND command will issue an ACK pulse when the CPU changes from normal to background mode. The ACK pulse related to this command could be aborted using the SYNC command. The GO command will issue an ACK pulse when the CPU exits from background mode. The ACK pulse related to this command could be aborted using the SYNC command. The GO_UNTIL command is equivalent to a GO command with exception that the ACK pulse, in this case, is issued when the CPU enters into background mode. This command is an alternative to the GO command and should be used when the host wants to trace if a breakpoint match occurs and causes the CPU to enter active background mode. Note that the ACK is issued whenever the CPU enters BDM, which could be caused by a breakpoint match or by a BGND instruction being executed. The ACK pulse related to this command could be aborted using the SYNC command. The TRACE1 command has the related ACK pulse issued when the CPU enters background active mode after one instruction of the application program is executed. The ACK pulse related to this command could be aborted using the SYNC command. The TAGGO command will not issue an ACK pulse because this would interfere with the tagging function shared on the same pin.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 473
Chapter 17 Background Debug Module (BDM) Block Description
17.4.9
SYNC -- Request Timed Reference Pulse
The SYNC command is unlike other BDM commands because the host does not necessarily know the correct communication speed to use for BDM communications until after it has analyzed the response to the SYNC command. To issue a SYNC command, the host should perform the following steps: 1. Drive the BKGD pin low for at least 128 cycles at the lowest possible BDM serial communication frequency (the lowest serial communication frequency is determined by the crystal oscillator or the clock chosen by CLKSW.) 2. Drive BKGD high for a brief speedup pulse to get a fast rise time (this speedup pulse is typically one cycle of the host clock.) 3. Remove all drive to the BKGD pin so it reverts to high impedance. 4. Listen to the BKGD pin for the sync response pulse. Upon detecting the SYNC request from the host, the target performs the following steps: 1. Discards any incomplete command received or bit retrieved. 2. Waits for BKGD to return to a logic 1. 3. Delays 16 cycles to allow the host to stop driving the high speedup pulse. 4. Drives BKGD low for 128 cycles at the current BDM serial communication frequency. 5. Drives a one-cycle high speedup pulse to force a fast rise time on BKGD. 6. Removes all drive to the BKGD pin so it reverts to high impedance. The host measures the low time of this 128 cycle SYNC response pulse and determines the correct speed for subsequent BDM communications. Typically, the host can determine the correct communication speed within a few percent of the actual target speed and the communication protocol can easily tolerate speed errors of several percent. As soon as the SYNC request is detected by the target, any partially received command or bit retrieved is discarded. This is referred to as a soft-reset, equivalent to a time-out in the serial communication. After the SYNC response, the target will consider the next falling edge (issued by the host) as the start of a new BDM command or the start of new SYNC request. Another use of the SYNC command pulse is to abort a pending ACK pulse. The behavior is exactly the same as in a regular SYNC command. Note that one of the possible causes for a command to not be acknowledged by the target is a host-target synchronization problem. In this case, the command may not have been understood by the target and so an ACK response pulse will not be issued.
17.4.10 Instruction Tracing
When a TRACE1 command is issued to the BDM in active BDM, the CPU exits the standard BDM firmware and executes a single instruction in the user code. As soon as this has occurred, the CPU is forced to return to the standard BDM firmware and the BDM is active and ready to receive a new command. If the TRACE1 command is issued again, the next user instruction will be executed. This facilitates stepping or tracing through the user code one instruction at a time.
MC9S12NE64 Data Sheet, Rev 1.0 474 Freescale Semiconductor
Functional Description
If an interrupt is pending when a TRACE1 command is issued, the interrupt stacking operation occurs but no user instruction is executed. Upon return to standard BDM firmware execution, the program counter points to the first instruction in the interrupt service routine.
17.4.11 Instruction Tagging
The instruction queue and cycle-by-cycle CPU activity are reconstructible in real time or from trace history that is captured by a logic analyzer. However, the reconstructed queue cannot be used to stop the CPU at a specific instruction. This is because execution already has begun by the time an operation is visible outside the system. A separate instruction tagging mechanism is provided for this purpose. The tag follows program information as it advances through the instruction queue. When a tagged instruction reaches the head of the queue, the CPU enters active BDM rather than executing the instruction. NOTE Tagging is disabled when BDM becomes active and BDM serial commands are not processed while tagging is active. Executing the BDM TAGGO command configures two system pins for tagging. The TAGLO signal shares a pin with the LSTRB signal, and the TAGHI signal shares a pin with the BKGD signal. Table 17-5 shows the functions of the two tagging pins. The pins operate independently, that is the state of one pin does not affect the function of the other. The presence of logic level 0 on either pin at the fall of the external clock (ECLK) performs the indicated function. High tagging is allowed in all modes. Low tagging is allowed only when low strobe is enabled (LSTRB is allowed only in wide expanded modes and emulation expanded narrow mode).
Table 17-5. Tag Pin Function
TAGHI 1 1 0 0 TAGLO 1 0 1 0 Tag No tag Low byte High byte Both bytes
17.4.12 Serial Communication Time-Out
The host initiates a host-to-target serial transmission by generating a falling edge on the BKGD pin. If BKGD is kept low for more than 128 target clock cycles, the target understands that a SYNC command was issued. In this case, the target will keep waiting for a rising edge on BKGD in order to answer the SYNC request pulse. If the rising edge is not detected, the target will keep waiting forever without any time-out limit. Consider now the case where the host returns BKGD to logic one before 128 cycles. This is interpreted as a valid bit transmission, and not as a SYNC request. The target will keep waiting for another falling edge marking the start of a new bit. If, however, a new falling edge is not detected by the target within 512 clock
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 475
Chapter 17 Background Debug Module (BDM) Block Description
cycles since the last falling edge, a time-out occurs and the current command is discarded without affecting memory or the operating mode of the MCU. This is referred to as a soft-reset. If a read command is issued but the data is not retrieved within 512 serial clock cycles, a soft-reset will occur causing the command to be disregarded. The data is not available for retrieval after the time-out has occurred. This is the expected behavior if the handshake protocol is not enabled. However, consider the behavior where the BDC is running in a frequency much greater than the CPU frequency. In this case, the command could time out before the data is ready to be retrieved. In order to allow the data to be retrieved even with a large clock frequency mismatch (between BDC and CPU) when the hardware handshake protocol is enabled, the time out between a read command and the data retrieval is disabled. Therefore, the host could wait for more then 512 serial clock cycles and still be able to retrieve the data from an issued read command. However, as soon as the handshake pulse (ACK pulse) is issued, the time-out feature is re-activated, meaning that the target will time out after 512 clock cycles. Therefore, the host needs to retrieve the data within a 512 serial clock cycles time frame after the ACK pulse had been issued. After that period, the read command is discarded and the data is no longer available for retrieval. Any falling edge of the BKGD pin after the time-out period is considered to be a new command or a SYNC request. Note that whenever a partially issued command, or partially retrieved data, has occurred the time out in the serial communication is active. This means that if a time frame higher than 512 serial clock cycles is observed between two consecutive negative edges and the command being issued or data being retrieved is not complete, a soft-reset will occur causing the partially received command or data retrieved to be disregarded. The next falling edge of the BKGD pin, after a soft-reset has occurred, is considered by the target as the start of a new BDM command, or the start of a SYNC request pulse.
MC9S12NE64 Data Sheet, Rev 1.0 476 Freescale Semiconductor
Chapter 18 Debug Module (DBG) Block Description
18.1 Introduction to the Debug (DBG) Module
This section describes the functionality of the debug (DBG) sub-block of the HCS12 core platform. Figure 18-1 is a block diagram of this module in breakpoint mode. Figure 18-2 is a block diagram of this module in debug mode. The DBG module is designed to be fully compatible with the existing BKP_HCS12_A module (BKP mode) and furthermore provides an on-chip trace buffer with flexible triggering capability (DBG mode). The DBG module provides for non-intrusive debug of application software. The DBG module is optimized for the HCS12 16-bit architecture.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 477
Chapter 18 Debug Module (DBG) Block Description CLOCKS AND CONTROL SIGNALS CONTROL BLOCK BREAKPOINT MODES AND GENERATION OF SWI, FORCE BDM, AND TAGS CONTROL SIGNALS READ/WRITE CONTROL RESULTS SIGNALS CONTROL BITS BKP CONTROL SIGNALS
......
......
EXPANSION ADDRESS ADDRESS WRITE DATA READ DATA
REGISTER BLOCK BKPCT0
BKPCT1 COMPARE BLOCK BKP READ DATA BUS WRITE DATA BUS BKP0X COMPARATOR EXPANSION ADDRESSES
BKP0H
COMPARATOR
ADDRESS HIGH
ADDRESS LOW BKP0L COMPARATOR EXPANSION ADDRESSES BKP1X COMPARATOR DATA HIGH BKP1H COMPARATOR DATA/ADDRESS HIGH MUX DATA/ADDRESS LOW MUX READ DATA HIGH COMPARATOR READ DATA LOW COMPARATOR ADDRESS HIGH DATA LOW ADDRESS LOW
BKP1L
COMPARATOR
Figure 18-1. DBG Block Diagram in BKP Mode
MC9S12NE64 Data Sheet, Rev 1.0 478 Freescale Semiconductor
Introduction to the Debug (DBG) Module
DBG READ DATA BUS ADDRESS BUS CONTROL WRITE DATA BUS READ DATA BUS READ/WRITE DBG MODE ENABLE CHANGE-OF-FLOW INDICATORS MCU IN BDM DETAIL EVENT ONLY CPU PROGRAM COUNTER STORE POINTER INSTRUCTION LAST CYCLE REGISTER BUS CLOCK M U X 64 x 16 BIT WORD TRACE BUFFER PROFILE CAPTURE MODE TRACE BUFFER OR PROFILING DATA ADDRESS/DATA/CONTROL REGISTERS COMPARATOR A COMPARATOR B COMPARATOR C CONTROL MATCH_A MATCH_B MATCH_C LOOP1 TRACER BUFFER CONTROL LOGIC
TAG FORCE
M U X
WRITE DATA BUS READ DATA BUS
M U X
M U X
LAST INSTRUCTION ADDRESS
PROFILE CAPTURE REGISTER
READ/WRITE
Figure 18-2. DBG Block Diagram in DBG Mode
18.1.1
Features
The DBG module in BKP mode includes these distinctive features: * Full or Dual Breakpoint Mode -- Compare on address and data (full) -- Compare on either of two addresses (dual) * BDM or SWI Breakpoint -- Enter BDM on breakpoint (BDM) -- Execute SWI on breakpoint (SWI) * Tagged or Forced Breakpoint -- Break just before a specific instruction will begin execution (TAG) -- Break on the first instruction boundary after a match occurs (Force) * Single, Range, or Page address compares -- Compare on address (single) -- Compare on address 256 byte (range)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 479
Chapter 18 Debug Module (DBG) Block Description
* * *
-- Compare on any 16K page (page) At forced breakpoints compare address on read or write High and/or low byte data compares Comparator C can provide an additional tag or force breakpoint (enhancement for BKP mode)
The DBG in DBG mode includes these distinctive features: * Three comparators (A, B, and C) -- Dual mode, comparators A and B used to compare addresses -- Full mode, comparator A compares address and comparator B compares data -- Can be used as trigger and/or breakpoint -- Comparator C used in LOOP1 capture mode or as additional breakpoint * Four capture modes -- Normal mode, change-of-flow information is captured based on trigger specification -- Loop1 mode, comparator C is dynamically updated to prevent redundant change-of-flow storage. -- Detail mode, address and data for all cycles except program fetch (P) and free (f) cycles are stored in trace buffer -- Profile mode, last instruction address executed by CPU is returned when trace buffer address is read * Two types of breakpoint or debug triggers -- Break just before a specific instruction will begin execution (tag) -- Break on the first instruction boundary after a match occurs (force) * BDM or SWI breakpoint -- Enter BDM on breakpoint (BDM) -- Execute SWI on breakpoint (SWI) * Nine trigger modes for comparators A and B --A -- A or B -- A then B -- A and B, where B is data (full mode) -- A and not B, where B is data (full mode) -- Event only B, store data -- A then event only B, store data -- Inside range, A address B -- Outside range, address < or address > B * Comparator C provides an additional tag or force breakpoint when capture mode is not configured in LOOP1 mode. * Sixty-four word (16 bits wide) trace buffer for storing change-of-flow information, event only data and other bus information.
MC9S12NE64 Data Sheet, Rev 1.0 480 Freescale Semiconductor
Introduction to the Debug (DBG) Module
-- -- -- -- -- -- -- --
Source address of taken conditional branches (long, short, bit-conditional, and loop constructs) Destination address of indexed JMP, JSR, and CALL instruction. Destination address of RTI, RTS, and RTC instructions Vector address of interrupts, except for SWI and BDM vectors Data associated with Event B trigger modes Detail report mode stores address and data for all cycles except program (P) and free (f) cycles Current instruction address when in profiling mode BGND is not considered a change-of-flow (cof) by the debugger
18.1.2
Modes of Operation
There are two main modes of operation: breakpoint mode and debug mode. Each one is mutually exclusive of the other and selected via a software programmable control bit. In the breakpoint mode there are two sub-modes of operation: * Dual address mode, where a match on either of two addresses will cause the system to enter background debug mode or initiate a software interrupt (SWI). * Full breakpoint mode, where a match on address and data will cause the system to enter background debug mode or initiate a software interrupt (SWI). In debug mode, there are several sub-modes of operation. * Trigger modes There are many ways to create a logical trigger. The trigger can be used to capture bus information either starting from the trigger or ending at the trigger. Types of triggers (A and B are registers): -- A only -- A or B -- A then B -- Event only B (data capture) -- A then event only B (data capture) -- A and B, full mode -- A and not B, full mode -- Inside range -- Outside range * Capture modes There are several capture modes. These determine which bus information is saved and which is ignored. -- Normal: save change-of-flow program fetches -- Loop1: save change-of-flow program fetches, ignoring duplicates -- Detail: save all bus operations except program and free cycles -- Profile: poll target from external device
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 481
Chapter 18 Debug Module (DBG) Block Description
18.2
External Signal Description
The DBG sub-module relies on the external bus interface (generally the MEBI) when the DBG is matching on the external bus. The tag pins in Table 18-1 (part of the MEBI) may also be a part of the breakpoint operation.
Table 18-1. External System Pins Associated with DBG and MEBI
Pin Name Pin Functions Description
BKGD/MODC/ TAGHI PE3/LSTRB/ TAGLO
TAGHI
When instruction tagging is on, a 0 at the falling edge of E tags the high half of the instruction word being read into the instruction queue. In expanded wide mode or emulation narrow modes, when instruction tagging is on and low strobe is enabled, a 0 at the falling edge of E tags the low half of the instruction word being read into the instruction queue.
TAGLO
18.3
Memory Map and Register Descriptions
A summary of the registers associated with the DBG sub-block is shown in Table 18-2. Detailed descriptions of the registers and bits are given in the subsections that follow.
18.3.1
Address1
$0020
Module Memory Map
Table 18-2. DBG Register Summary Name2
DBGC1 Read Write Read Write Read Write Read Write Read Write Read Write Read Write
Bit 7
DBGEN
6
ARM
5
TRGSEL
4
BEGIN
3
DBGBRK
2
0
1
Bit 0
CAPMOD
$0021
DBGSC
AF
BF
CF
0
TRG
$0022
DBGTBH
Bit 15
Bit 14
Bit 13
Bit 12
Bit 11
Bit 10
Bit 9
Bit 8
$0023
DBGTBL
Bit 7
Bit 6
Bit 5
Bit 4
Bit 3
Bit 2
Bit 1
Bit 0
$0024
DBGCNT
TBF
0
CNT
$0025
DBGCCX3
PAGSEL
EXTCMP
$0026
DBGCCH(2)
Bit 15
14
13
12
11
10
9
Bit 8
= Unimplemented
MC9S12NE64 Data Sheet, Rev 1.0 482 Freescale Semiconductor
Memory Map and Register Descriptions
Table 18-2. DBG Register Summary (Continued) Address1
$0027
Name2
DBGCCL(2) Read Write
Bit 7
Bit 7
6
6
5
5
4
4
3
3
2
2
1
1
Bit 0
Bit 0
$0028
DBGC2 BKPCT0 DBGC3 BKPCT1 DBGCAX BKP0X DBGCAH BKP0H DBGCAL BKP0L DBGCBX BKP1X DBGCBH BKP1H DBGCBL BKP1L
Read BKABEN Write Read BKAMBH Write Read Write Read Write Read Write Read Write Read Write Read Write
FULL
BDM
TAGAB
BKCEN
TAGC
RWCEN
RWC
$0029
BKAMBL
BKBMBH
BKBMBL
RWAEN
RWA
RWBEN
RWB
$002A
PAGSEL
EXTCMP
$002B
Bit 15
14
13
12
11
10
9
Bit 8
$002C
Bit 7
6
5
4
3
2
1
Bit 0
$002D
PAGSEL
EXTCMP
$002E
Bit 15
14
13
12
11
10
9
Bit 8
$002F
Bit 7
6
5
4
3
2
1
Bit 0
= Unimplemented
1 2
Starting address location affected by INITRG register setting. The DBG module is designed for backwards compatibility to existing BKP modules. Register and bit names have changed from the BKP module. This column shows the DBG register name, as well as the BKP register name for reference. 3 Comparator C can be used to enhance the BKP mode by providing a third breakpoint.
18.3.2
Register Descriptions
This section consists of the DBG register descriptions in address order. Most of the register bits can be written to in either BKP or DBG mode, although they may not have any effect in one of the modes. However, the only bits in the DBG module that can be written while the debugger is armed (ARM = 1) are DBGEN and ARM
18.3.2.1
Debug Control Register 1 (DBGC1)
NOTE All bits are used in DBG mode only.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 483
Chapter 18 Debug Module (DBG) Block Description
Address $00201
1 Starting address location affected by INITRG register setting.
7 R W Reset: DBGEN 0
6 ARM 0
5 TRGSEL 0
4 BEGIN 0
3 DBGBRK 0
2 0 0
1 CAPMOD 0
0
0
= Unimplemented or Reserved
Figure 18-3. Debug Control Register (DBGC1)
NOTE This register cannot be written if BKP mode is enabled (BKABEN in DBGC2 is set). DBGEN -- DBG Mode Enable Bit The DBGEN bit enables the DBG module for use in DBG mode. This bit cannot be set if the MCU is in secure mode. 1 = DBG mode enabled 0 = DBG mode disabled ARM -- Arm Bit The ARM bit controls whether the debugger is comparing and storing data in the trace buffer. See Section 18.4.2.4, "Arming the DBG Module," for more information. 1 = Debugger armed 0 = Debugger unarmed NOTE This bit cannot be set if the DBGEN bit is not also being set at the same time. For example, a write of 01 to DBGEN[7:6] will be interpreted as a write of 00. TRGSEL -- Trigger Selection Bit The TRGSEL bit controls the triggering condition for comparators A and B in DBG mode. It serves essentially the same function as the TAGAB bit in the DBGC2 register does in BKP mode. See Section 18.4.2.1.2, "Trigger Selection," for more information. TRGSEL may also determine the type of breakpoint based on comparator A and B if enabled in DBG mode (DBGBRK = 1). Please refer to Section 18.4.3.1, "Breakpoint Based on Comparator A and B." 1 = Trigger before opcode at compare address gets executed (tagged-type) 0 = Trigger on any compare address match BEGIN -- Begin/End Trigger Bit The BEGIN bit controls whether the trigger begins or ends storing of data in the trace buffer. See Section 18.4.2.8.1, "Storing with Begin-Trigger," and Section 18.4.2.8.2, "Storing with End-Trigger," for more details. 1 = Trigger before storing data 0 = Trigger at end of stored data
MC9S12NE64 Data Sheet, Rev 1.0 484 Freescale Semiconductor
Memory Map and Register Descriptions
DBGBRK -- DBG Breakpoint Enable Bit The DBGBRK bit controls whether the debugger will request a breakpoint based on comparator A and B to the CPU upon completion of a tracing session. Please refer to Section 18.4.3, "Breakpoints," for further details. 1 = CPU break request enabled 0 = CPU break request not enabled CAPMOD -- Capture Mode Field See Table 18-3 for capture mode field definitions. In LOOP1 mode, the debugger will automatically inhibit redundant entries into capture memory. In detail mode, the debugger is storing address and data for all cycles except program fetch (P) and free (f) cycles. In profile mode, the debugger is returning the address of the last instruction executed by the CPU on each access of trace buffer address. Refer to Section 18.4.2.6, "Capture Modes," for more information.
Table 18-3. CAPMOD Encoding CAPMOD 00 01 10 11 Description Normal LOOP1 DETAIL PROFILE
18.3.2.2
Debug Status and Control Register (DBGSC)
Address $00211
1 Starting address location affected by INITRG register setting.
R W Reset:
7 AF 0
6 BF 0
5 CF 0
4 0 0
3
2 TRG
1
0
0
0
0
0
= Unimplemented or Reserved
Figure 18-4. Debug Status and Control Register (DBGSC)
AF -- Trigger A Match Flag The AF bit indicates if trigger A match condition was met since arming. This bit is cleared when ARM in DBGC1 is written to a 1 or on any write to this register. 1 = Trigger A match 0 = Trigger A did not match BF -- Trigger B Match Flag The BF bit indicates if trigger B match condition was met since arming.This bit is cleared when ARM in DBGC1 is written to a 1 or on any write to this register. 1 = Trigger B match 0 = Trigger B did not match
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 485
Chapter 18 Debug Module (DBG) Block Description
CF -- Comparator C Match Flag The CF bit indicates if comparator C match condition was met since arming.This bit is cleared when ARM in DBGC1 is written to a 1 or on any write to this register. 1 = Comparator C match 0 = Comparator C did not match TRG -- Trigger Mode Bits The TRG bits select the trigger mode of the DBG module as shown Table 18-4. See Section 18.4.2.5, "Trigger Modes," for more detail.
Table 18-4. Trigger Mode Encoding TRG Value 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1111 Meaning A only A or B A then B Event only B A then event only B A and B (full mode) A and Not B (full mode) Inside range Outside range Reserved (Defaults to A only)
18.3.2.3
Debug Trace Buffer Register (DBGTB)
Address $0022 through $00231
1 Starting address location affected by INITRG register setting.
R W Reset:
15 14 13 12 11 10 9 Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 0 0 0 0 0 0 0
8 Bit 8 0
7 Bit 7 0
6 Bit 6 0
5 Bit 5 0
4 Bit 4 0
3 Bit 3 0
2 Bit 2 0
1 Bit 1 0
0 Bit 0 0
= Unimplemented or Reserved
Figure 18-5. Debug Trace Buffer Register (DBGTB)
Bits 15:0 -- Trace Buffer Data Bits The trace buffer data bits contain the data of the trace buffer. This register can be read only as a word read. Any byte reads or misaligned access of these registers will return 0 and will not cause the trace buffer pointer to increment to the next trace buffer address. The same is true for word reads while the debugger is armed. In addition, this register may appear to contain incorrect data if it is not read with the same capture mode bit settings as when the trace buffer data was recorded (See Section 18.4.2.9, "Reading Data from Trace Buffer"). Because reads will reflect the contents of the trace buffer RAM, the reset state is undefined.
MC9S12NE64 Data Sheet, Rev 1.0 486 Freescale Semiconductor
Memory Map and Register Descriptions
18.3.2.4
Debug Count Register (DBGCNT)
Address $00241
1 Starting address location affected by INITRG register setting.
R W Reset:
7 TBF 0
6 0 0
5
4
3 CNT
2
1
0
0
0
0
0
0
0
= Unimplemented or Reserved
Figure 18-6. Debug Count Register (DBCNT)
TBF -- Trace Buffer Full The TBF bit indicates that the trace buffer has stored 64 or more words of data since it was last armed. If this bit is set, then all 64 words will be valid data, regardless of the value in CNT[5:0]. The TBF bit is cleared when ARM in DBGC1 is written to a 1. CNT -- Count Value The CNT bits indicate the number of valid data words stored in the trace buffer. Table 18-5 shows the correlation between the CNT bits and the number of valid data words in the trace buffer. When the CNT rolls over to 0, the TBF bit will be set and incrementing of CNT will continue if DBG is in end-trigger mode. The DBGCNT register is cleared when ARM in DBGC1 is written to a 1.
Table 18-5. CNT Decoding Table TBF 0 0 0 0 1 CNT 000000 000001 000010 .. .. 111110 111111 000000 000001 .. .. 111111 Description No data valid 1 word valid 2 words valid .. .. 62 words valid 63 words valid 64 words valid; if BEGIN = 1, the ARM bit will be cleared. A breakpoint will be generated if DBGBRK = 1 64 words valid, oldest data has been overwritten by most recent data
1
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 487
Chapter 18 Debug Module (DBG) Block Description
18.3.2.5
Debug Comparator C Extended Register (DBGCCX)
Address $00251
1 Starting address location affected by INITRG register setting.
7 R W Reset: PAGSEL 0
6
5
4
3 EXTCMP
2
1
0
0
0
0
0
0
0
0
Figure 18-7. Debug Comparator C Extended Register (DBGCCX)
PAGSEL -- Page Selector Field In both BKP and DBG mode, PAGSEL selects the type of paging as shown in Table 18-6. DPAGE and EPAGE are not yet implemented so the value in bit 7 will be ignored (i.e., PAGSEL values of 10 and 11 will be interpreted as values of 00 and 01, respectively). EXTCMP -- Comparator C Extended Compare Bits The EXTCMP bits are used as comparison address bits as shown in Table 18-6 along with the appropriate PPAGE, DPAGE, or EPAGE signal from the core. NOTE Comparator C can be used when the DBG module is configured for BKP mode. Extended addressing comparisons for comparator C use PAGSEL and will operate differently to the way that comparator A and B operates in BKP mode.
Table 18-6. PAGSEL Decoding1 PAGSEL 00 01 103 11(2) Description Normal (64k) PPAGE (256 -- 16K pages) DPAGE (reserved) (256 -- 4K pages) EPAGE (reserved) (256 -- 1K pages) EXTCMP Not used EXTCMP[5:0] is compared to address bits [21:16]2 EXTCMP[3:0] is compared to address bits [19:16] EXTCMP[1:0] is compared to address bits [17:16] Comment No paged memory PPAGE[7:0] / XAB[21:14] becomes address bits [21:14](1) DPAGE / XAB[21:14] becomes address bits [19:12] EPAGE / XAB[21:14] becomes address bits [17:10]
1See Figure 18-8. 2Current HCS12 implementations have PPAGE limited to 6 bits. Therefore, EXTCMP[5:4] should be set to 00. 3Data page (DPAGE) and Extra page (EPAGE) are reserved for implementation on devices that support paged
data and
extra space.
MC9S12NE64 Data Sheet, Rev 1.0 488 Freescale Semiconductor
Memory Map and Register Descriptions
DBGCXX PAGSEL 7 6 0 5 0 4 3 EXTCMP BIT 15 2 1 BIT 0
DBGCXH[15:12]
BIT 14
BIT 13
BIT 12
SEE NOTE 1 PORTK/XAB XAB21 XAB20 XAB19 XAB18 XAB17 XAB16 XAB15 XAB14
PPAGE
PIX7
PIX6
PIX5
PIX4
PIX3
PIX2
PIX1
PIX0
SEE NOTE 2 NOTES: 1. In BKP and DBG mode, PAGSEL selects the type of paging as shown in Table 18-6. 2. Current HCS12 implementations are limited to six PPAGE bits, PIX[5:0]. Therefore, EXTCMP[5:4] = 00.
Figure 18-8. Comparator C Extended Comparison in BKP/DBG Mode
18.3.2.6
Debug Comparator C Register (DBGCC)
Address $0026 through $00271
1 Starting address location affected by INITRG register setting.
R W Reset:
15 14 13 12 11 10 9 Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 0 0 0 0 0 0 0
8 Bit 8 0
7 Bit 7 0
6 Bit 6 0
5 Bit 5 0
4 Bit 4 0
3 Bit 3 0
2 Bit 2 0
1 Bit 1 0
0 Bit 0 0
= Unimplemented or Reserved
Figure 18-9. Debug Comparator C Register (DBGCC)
Bits 15:0 -- Comparator C Compare Bits The comparator C compare bits control whether comparator C will compare the address bus bits [15:0] to a logic 1 or logic 0. See Table 18-7. 1 = Compare corresponding address bit to a logic 1 0 = Compare corresponding address bit to a logic 0 NOTE This register will be cleared automatically when the DBG module is armed in LOOP1 mode.
Table 18-7. Comparator C Compares PAGSEL x0 x1 EXTCMP Compare No compare EXTCMP[5:0] = XAB[21:16] High-Byte Compare DBGCCH[7:0] = AB[15:8] DBGCCH[7:0] = XAB[15:14],AB[13:8]
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 489
BKP/DBG MODE
Chapter 18 Debug Module (DBG) Block Description
18.3.2.7
Debug Control Register 2 (DBGC2)
Address $00281
1 Starting address location affected by INITRG register setting.
7 R W Reset:
1
6 FULL 0
5 BDM 0
4 TAGAB 0
3 BKCEN2 0
2 TAGC(2) 0
1 RWCEN(2) 0
0 RWC(2) 0
BKABEN1 0
When BKABEN is set (BKP mode), all bits in DBGC2 are available. When BKABEN is cleared and DBG is used in DBG mode, bits FULL and TAGAB have no meaning. 2 These bits can be used in BKP mode and DBG mode (when capture mode is not set in LOOP1) to provide a third breakpoint.
Figure 18-10. Debug Control Register 2 (DBGC2)
BKABEN -- Breakpoint Using Comparator A and B Enable This bit enables the breakpoint capability using comparator A and B, when set (BKP mode) the DBGEN bit in DBGC1 cannot be set. 1 = Breakpoint module on 0 = Breakpoint module off FULL -- Full Breakpoint Mode Enable This bit controls whether the breakpoint module is in dual mode or full mode. In full mode, comparator A is used to match address and comparator B is used to match data. See Section 18.4.1.2, "Full Breakpoint Mode," for more details. 1 = Full breakpoint mode enabled 0 = Dual address mode enabled BDM -- Background Debug Mode Enable This bit determines if the breakpoint causes the system to enter background debug mode (BDM) or initiate a software interrupt (SWI). 1 = Go to BDM on a break request 0 = Go to software interrupt on a break request TAGAB -- Comparator A/B Tag Select This bit controls whether the breakpoint will cause a break on the next instruction boundary (force) or on a match that will be an executable opcode (tagged). Non-executed opcodes cannot cause a tagged breakpoint. 1 = On match, break if/when the instruction is about to be executed (tagged) 0 = On match, break at the next instruction boundary (force) BKCEN -- Breakpoint Comparator C Enable Bit This bit enables the breakpoint capability using comparator C. 1 = Comparator C enabled for breakpoint 0 = Comparator C disabled for breakpoint NOTE This bit will be cleared automatically when the DBG module is armed in loop1 mode.
MC9S12NE64 Data Sheet, Rev 1.0 490 Freescale Semiconductor
Memory Map and Register Descriptions
TAGC -- Comparator C Tag Select This bit controls whether the breakpoint will cause a break on the next instruction boundary (force) or on a match that will be an executable opcode (tagged). Non-executed opcodes cannot cause a tagged breakpoint. 1 = On match, break if/when the instruction is about to be executed (tagged) 0 = On match, break at the next instruction boundary (force) RWCEN -- Read/Write Comparator C Enable Bit The RWCEN bit controls whether read or write comparison is enabled for comparator C. RWCEN is not useful for tagged breakpoints. 1 = Read/Write is used in comparison 0 = Read/Write is not used in comparison RWC -- Read/Write Comparator C Value Bit The RWC bit controls whether read or write is used in compare for comparator C. The RWC bit is not used if RWCEN = 0. 1 = Read cycle will be matched 0 = Write cycle will be matched
18.3.2.8
Debug Control Register 3 (DBGC3)
Address $00291
1 Starting address location affected by INITRG register setting.
7 R W Reset:
1 In 2
6
1
5
(1)
4
2
3 RWAEN 0
2 RWA 0
1 RWBEN 0
0 RWB 0
BKAMBH 0
BKAMBL 0
BKBMBH 0
BKBMBL(2) 0
DBG mode, BKAMBH:BKAMBL has no meaning and are forced to 0's. In DBG mode, BKBMBH:BKBMBL are used in full mode to qualify data.
Figure 18-11. Debug Control Register 3 (DBGC3)
BKAMBH:BKAMBL -- Breakpoint Mask High Byte and Low Byte for First Address In dual or full mode, these bits may be used to mask (disable) the comparison of the high and/or low bytes of the first address breakpoint. The functionality is as given in Table 18-8.
Table 18-8. Breakpoint Mask Bits for First Address BKAMBH:BKAMBL x:0 0:1 1:1
1
Address Compare Full address compare 256 byte address range 16K byte address range
DBGCAX Yes1 Yes(1) Yes(1)
DBGCAH Yes Yes No
DBGCAL Yes No No
If PPAGE is selected.
The x:0 case is for a full address compare. When a program page is selected, the full address compare will be based on bits for a 20-bit compare. The registers used for the compare are {DBGCAX[5:0], DBGCAH[5:0], DBGCAL[7:0]}, where DBGAX[5:0] corresponds to PPAGE[5:0] or extended
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 491
Chapter 18 Debug Module (DBG) Block Description
address bits [19:14] and CPU address [13:0]. When a program page is not selected, the full address compare will be based on bits for a 16-bit compare. The registers used for the compare are {DBGCAH[7:0], DBGCAL[7:0]} which corresponds to CPU address [15:0]. NOTE This extended address compare scheme causes an aliasing problem in BKP mode in which several physical addresses may match with a single logical address. This problem may be avoided by using DBG mode to generate breakpoints. The 1:0 case is not sensible because it would ignore the high order address and compare the low order and expansion addresses. Logic forces this case to compare all address lines (effectively ignoring the BKAMBH control bit). The 1:1 case is useful for triggering a breakpoint on any access to a particular expansion page. This only makes sense if a program page is being accessed so that the breakpoint trigger will occur only if DBGCAX compares. BKBMBH:BKBMBL -- Breakpoint Mask High Byte and Low Byte of Data (Second Address) In dual mode, these bits may be used to mask (disable) the comparison of the high and/or low bytes of the second address breakpoint. The functionality is as given in Table 18-9.
Table 18-9. Breakpoint Mask Bits for Second Address (Dual Mode) BKBMBH:BKBMBL x:0 0:1 1:1
1
Address Compare Full address compare 256 byte address range 16K byte address range
DBGCBX Yes1 Yes(1) Yes(1)
DBGCBH Yes Yes No
DBGCBL Yes No No
If PPAGE is selected.
The x:0 case is for a full address compare. When a program page is selected, the full address compare will be based on bits for a 20-bit compare. The registers used for the compare are {DBGCBX[5:0], DBGCBH[5:0], DBGCBL[7:0]} where DBGCBX[5:0] corresponds to PPAGE[5:0] or extended address bits [19:14] and CPU address [13:0]. When a program page is not selected, the full address compare will be based on bits for a 16-bit compare. The registers used for the compare are {DBGCBH[7:0], DBGCBL[7:0]} which corresponds to CPU address [15:0]. NOTE This extended address compare scheme causes an aliasing problem in BKP mode in which several physical addresses may match with a single logical address. This problem may be avoided by using DBG mode to generate breakpoints. The 1:0 case is not sensible because it would ignore the high order address and compare the low order and expansion addresses. Logic forces this case to compare all address lines (effectively ignoring the BKBMBH control bit).
MC9S12NE64 Data Sheet, Rev 1.0 492 Freescale Semiconductor
Memory Map and Register Descriptions
The 1:1 case is useful for triggering a breakpoint on any access to a particular expansion page. This only makes sense if a program page is being accessed so that the breakpoint trigger will occur only if DBGCBX compares. In full mode, these bits may be used to mask (disable) the comparison of the high and/or low bytes of the data breakpoint. The functionality is as given in Table 18-10.
Table 18-10. Breakpoint Mask Bits for Data Breakpoints (Full Mode) BKBMBH:BKBMBL 0:0 0:1 1:0 1:1
1
Data Compare High and low byte compare High byte Low byte No compare
DBGCBX No1 No(1) No(1) No(1)
DBGCBH Yes Yes No No
DBGCBL Yes No Yes No
Expansion addresses for breakpoint B are not applicable in this mode.
RWAEN -- Read/Write Comparator A Enable Bit The RWAEN bit controls whether read or write comparison is enabled for comparator A. See Section 18.4.2.1.1, "Read or Write Comparison," for more information. This bit is not useful for tagged operations. 1 = Read/Write is used in comparison 0 = Read/Write is not used in comparison RWA -- Read/Write Comparator A Value Bit The RWA bit controls whether read or write is used in compare for comparator A. The RWA bit is not used if RWAEN = 0. 1 = Read cycle will be matched 0 = Write cycle will be matched RWBEN -- Read/Write Comparator B Enable Bit The RWBEN bit controls whether read or write comparison is enabled for comparator B. See Section 18.4.2.1.1, "Read or Write Comparison," for more information. This bit is not useful for tagged operations. 1 = Read/Write is used in comparison 0 = Read/Write is not used in comparison RWB -- Read/Write Comparator B Value Bit The RWB bit controls whether read or write is used in compare for comparator B. The RWB bit is not used if RWBEN = 0. 1 = Read cycle will be matched 0 = Write cycle will be matched NOTE RWB and RWBEN are not used in full mode.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 493
Chapter 18 Debug Module (DBG) Block Description
18.3.2.9
Debug Comparator A Extended Register (DBGCAX)
Address $002A1
1 Starting address location affected by INITRG register setting.
7 R W Reset: PAGSEL 0
6
5
4
3 EXTCMP
2
1
0
0
0
0
0
0
0
0
Figure 18-12. Debug Comparator A Extended Register (DBGCAX)
PAGSEL -- Page Selector Field If DBGEN is set in DBGC1, then PAGSEL selects the type of paging as shown in Table 18-11. DPAGE and EPAGE are not yet implemented so the value in bit 7 will be ignored (i.e., PAGSEL values of 10 and 11 will be interpreted as values of 00 and 01, respectively). In BKP mode, PAGSEL has no meaning and EXTCMP[5:0] are compared to address bits [19:14] if the address is in the FLASH/ROM memory space. EXTCMP -- Comparator A Extended Compare Bits The EXTCMP bits are used as comparison address bits as shown in Table 18-11 along with the appropriate PPAGE, DPAGE, or EPAGE signal from the core.
Table 18-11. Comparator A or B Compares Mode BKP1 DBG2
1 See 2
EXTCMP Compare No compare EXTCMP[5:0] = XAB[19:14] No compare EXTCMP[5:0] = XAB[21:16]
High-Byte Compare DBGCxH[7:0] = AB[15:8] DBGCxH[5:0] = AB[13:8] DBGCxH[7:0] = AB[15:8] DBGCxH[7:0] = XAB[15:14], AB[13:8]
Not FLASH/ROM access FLASH/ROM access PAGSEL = 00 PAGSEL = 01
Figure 18-13. See Figure 18-8 (note that while this figure provides extended comparisons for comparator C, the figure also pertains to comparators A and B in DBG mode only).
PAGSEL DBGCXX 0 0 5 4 EXTCMP 3 2 1 BIT 0
PORTK/XAB
XAB21
XAB20
XAB19
XAB18
XAB17
XAB16
XAB15
XAB14
PPAGE
PIX7
PIX6
PIX5
PIX4
PIX3
PIX2
PIX1
PIX0
SEE NOTE 2 NOTES: 1. In BKP mode, PAGSEL has no functionality. Therefore, set PAGSEL to 00 (reset state). 2. Current HCS12 implementations are limited to six PPAGE bits, PIX[5:0].
Figure 18-13. Comparators A and B Extended Comparison in BKP Mode
MC9S12NE64 Data Sheet, Rev 1.0 494 Freescale Semiconductor
BKP MODE
SEE NOTE 1
Memory Map and Register Descriptions
18.3.2.10 Debug Comparator A Register (DBGCA)
Address $002B through $002C1
1 Starting address location affected by INITRG register setting.
15 R W Reset:
14
13
12
11
10
9
8 Bit 8 0
7 Bit 7 0
6 Bit 6 0
5 Bit 5 0
4 Bit 4 0
3 Bit 3 0
2 Bit 2 0
1 Bit 1 0
0 Bit 0 0
Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 0 0 0 0 0 0 0
Figure 18-14. Debug Comparator A Register (DBGCA)
Bits 15:0 -- Comparator A Compare Bits The comparator A compare bits control whether comparator A compares the address bus bits [15:0] to a logic 1 or logic 0. See Table 18-11. 1 = Compare corresponding address bit to a logic 1 0 = Compare corresponding address bit to a logic 0
18.3.2.11 Debug Comparator B Extended Register (DBGCBX)
Module Base + $D
7 R W Reset: PAGSEL 0 0 0 0 0 6 5 4 3 EXTCMP 0 0 0 2 1 0
Figure 18-15. Debug Comparator B Extended Register (DBGCBX)
PAGSEL -- Page Selector Field If DBGEN is set in DBGC1, then PAGSEL selects the type of paging as shown in Table 18-6. DPAGE and EPAGE are not yet implemented so the value in bit 7 will be ignored (i.e., PAGSEL values of 10 and 11 will be interpreted as values of 00 and 01, respectively.) In BKP mode, PAGSEL has no meaning and EXTCMP[5:0] are compared to address bits [19:14] if the address is in the FLASH/ROM memory space. EXTCMP -- Comparator B Extended Compare Bits The EXTCMP bits are used as comparison address bits as shown in Table 18-6 along with the appropriate PPAGE, DPAGE, or EPAGE signal from the core. Also see Table 18-11.
18.3.2.12 Debug Comparator B Register (DBGCB)
Address $002E through $002F1
1 Starting address location affected by INITRG register setting.
15 R W Reset:
14
13
12
11
10
9
8 Bit 8 0
7 Bit 7 0
6 Bit 6 0
5 Bit 5 0
4 Bit 4 0
3 Bit 3 0
2 Bit 2 0
1 Bit 1 0
0 Bit 0 0
Bit 15 Bit 14 Bit 13 Bit 12 Bit 11 Bit 10 Bit 9 0 0 0 0 0 0 0
Figure 18-16. Debug Comparator B Register (DBGCB)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 495
Chapter 18 Debug Module (DBG) Block Description
Bits 15:0 -- Comparator B High Compare Bits The comparator B compare bits control whether comparator B compares the address bus bits [15:0] or data bus bits [15:0] to a logic 1 or logic 0. See Table 18-11. 1 = Compare corresponding address bit to a logic 1, compares to data if in Full mode 0 = Compare corresponding address bit to a logic 0, compares to data if in Full mode
18.4
Functional Description
This section provides a complete functional description of the DBG module. The DBG module can be configured to run in either of two modes, BKP or DBG. BKP mode is enabled by setting BKABEN in DBGC2. DBG mode is enabled by setting DBGEN in DBGC1. Setting BKABEN in DBGC2 overrides the DBGEN in DBGC1 and prevents DBG mode. If the part is in secure mode, DBG mode cannot be enabled.
18.4.1
DBG Operating in BKP Mode
In BKP mode, the DBG will be fully backwards compatible with the existing BKP_ST12_A module. The DBGC2 register has four additional bits that were not available on existing BKP_ST12_A modules. As long as these bits are written to either all 1s or all 0s, they should be transparent to the user. All 1s would enable comparator C to be used as a breakpoint, but tagging would be enabled. The match address register would be all 0s if not modified by the user. Therefore, code executing at address $0000 would have to occur before a breakpoint based on comparator C would happen. The DBG module in BKP mode supports two modes of operation: dual address mode and full breakpoint mode. Within each of these modes, forced or tagged breakpoint types can be used. Forced breakpoints occur at the next instruction boundary if a match occurs and tagged breakpoints allow for breaking just before the tagged instruction executes. The action taken upon a successful match can be to either place the CPU in background debug mode or to initiate a software interrupt. The breakpoint can operate in dual address mode or full breakpoint mode. Each of these modes is discussed in the subsections below.
18.4.1.1
Dual Address Mode
When dual address mode is enabled, two address breakpoints can be set. Each breakpoint can cause the system to enter background debug mode or to initiate a software interrupt based upon the state of BDM in DBGC2 being logic 1 or logic 0, respectively. BDM requests have a higher priority than SWI requests. No data breakpoints are allowed in this mode. TAGAB in DBGC2 selects whether the breakpoint mode is forced or tagged. The BKxMBH:L bits in DBGC3 select whether or not the breakpoint is matched exactly or is a range breakpoint. They also select whether the address is matched on the high byte, low byte, both bytes, and/or memory expansion. The RWx and RWxEN bits in DBGC3 select whether the type of bus cycle to match is a read, write, or read/write when performing forced breakpoints.
MC9S12NE64 Data Sheet, Rev 1.0 496 Freescale Semiconductor
Functional Description
18.4.1.2
Full Breakpoint Mode
Full breakpoint mode requires a match on address and data for a breakpoint to occur. Upon a successful match, the system will enter background debug mode or initiate a software interrupt based upon the state of BDM in DBGC2 being logic 1 or logic 0, respectively. BDM requests have a higher priority than SWI requests. R/W matches are also allowed in this mode. TAGAB in DBGC2 selects whether the breakpoint mode is forced or tagged. When TAGAB is set in DBGC2, only addresses are compared and data is ignored. The BKAMBH:L bits in DBGC3 select whether or not the breakpoint is matched exactly, is a range breakpoint, or is in page space. The BKBMBH:L bits in DBGC3 select whether the data is matched on the high byte, low byte, or both bytes. RWA and RWAEN bits in DBGC2 select whether the type of bus cycle to match is a read or a write when performing forced breakpoints. RWB and RWBEN bits in DBGC2 are not used in full breakpoint mode. NOTE The full trigger mode is designed to be used for either a word access or a byte access, but not both at the same time. Confusing trigger operation (seemingly false triggers or no trigger) can occur if the trigger address occurs in the user program as both byte and word accesses.
18.4.1.3
Breakpoint Priority
Breakpoint operation is first determined by the state of the BDM module. If the BDM module is already active, meaning the CPU is executing out of BDM firmware, breakpoints are not allowed. In addition, while executing a BDM TRACE command, tagging into BDM is not allowed. If BDM is not active, the breakpoint will give priority to BDM requests over SWI requests. This condition applies to both forced and tagged breakpoints. In all cases, BDM related breakpoints will have priority over those generated by the Breakpoint sub-block. This priority includes breakpoints enabled by the TAGLO and TAGHI external pins of the system that interface with the BDM directly and whose signal information passes through and is used by the breakpoint sub-block. NOTE BDM should not be entered from a breakpoint unless the ENABLE bit is set in the BDM. Even if the ENABLE bit in the BDM is cleared, the CPU actually executes the BDM firmware code. It checks the ENABLE and returns if ENABLE is not set. If the BDM is not serviced by the monitor then the breakpoint would be re-asserted when the BDM returns to normal CPU flow. There is no hardware to enforce restriction of breakpoint operation if the BDM is not enabled.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 497
Chapter 18 Debug Module (DBG) Block Description
When program control returns from a tagged breakpoint through an RTI or a BDM GO command, it will return to the instruction whose tag generated the breakpoint. Unless breakpoints are disabled or modified in the service routine or active BDM session, the instruction will be tagged again and the breakpoint will be repeated. In the case of BDM breakpoints, this situation can also be avoided by executing a TRACE1 command before the GO to increment the program flow past the tagged instruction.
18.4.1.4
Using Comparator C in BKP Mode
The original BKP_ST12_A module supports two breakpoints. The DBG_ST12_A module can be used in BKP mode and allow a third breakpoint using comparator C. Four additional bits, BKCEN, TAGC, RWCEN, and RWC in DBGC2 in conjunction with additional comparator C address registers, DBGCCX, DBGCCH, and DBGCCL allow the user to set up a third breakpoint. Using PAGSEL in DBGCCX for expanded memory will work differently than the way paged memory is done using comparator A and B in BKP mode. See Section 18.3.2.5, "Debug Comparator C Extended Register (DBGCCX)," for more information on using comparator C.
18.4.2
DBG Operating in DBG Mode
Enabling the DBG module in DBG mode, allows the arming, triggering, and storing of data in the trace buffer and can be used to cause CPU breakpoints. The DBG module is made up of three main blocks, the comparators, trace buffer control logic, and the trace buffer. NOTE In general, there is a latency between the triggering event appearing on the bus and being detected by the DBG circuitry. In general, tagged triggers will be more predictable than forced triggers.
18.4.2.1
Comparators
The DBG contains three comparators, A, B, and C. Comparator A compares the core address bus with the address stored in DBGCAH and DBGCAL. Comparator B compares the core address bus with the address stored in DBGCBH and DBGCBL except in full mode, where it compares the data buses to the data stored in DBGCBH and DBGCBL. Comparator C can be used as a breakpoint generator or as the address comparison unit in the loop1 mode. Matches on comparator A, B, and C are signaled to the trace buffer control (TBC) block. When PAGSEL = 01, registers DBGCAX, DBGCBX, and DBGCCX are used to match the upper addresses as shown in Table 18-6. NOTE If a tagged-type C breakpoint is set at the same address as an A/B tagged-type trigger (including the initial entry in an inside or outside range trigger), the C breakpoint will have priority and the trigger will not be recognized.
MC9S12NE64 Data Sheet, Rev 1.0 498 Freescale Semiconductor
Functional Description
18.4.2.1.1
Read or Write Comparison
Read or write comparisons are useful only with TRGSEL = 0, because only opcodes should be tagged as they are "read" from memory. RWAEN and RWBEN are ignored when TRGSEL = 1. In full modes ("A and B" and "A and not B") RWAEN and RWA are used to select read or write comparisons for both comparators A and B. Table 18-12 shows the effect for RWAEN, RWA, and RW on the DBGCB comparison conditions. The RWBEN and RWB bits are not used and are ignored in full modes.
Table 18-12. Read or Write Comparison Logic Table RWAEN bit 0 0 1 1 1 1 RWA bit x x 0 0 1 1 RW signal 0 1 0 1 0 1 Comment Write data bus Read data bus Write data bus No data bus compare since RW=1 No data bus compare since RW=0 Read data bus
18.4.2.1.2
Trigger Selection
The TRGSEL bit in DBGC1 is used to determine the triggering condition in DBG mode. TRGSEL applies to both trigger A and B except in the event only trigger modes. By setting TRGSEL, the comparators A and B will qualify a match with the output of opcode tracking logic and a trigger occurs before the tagged instruction executes (tagged-type trigger). With the TRGSEL bit cleared, a comparator match forces a trigger when the matching condition occurs (force-type trigger). NOTE If the TRGSEL is set, the address stored in the comparator match address registers must be an opcode address for the trigger to occur.
18.4.2.2
Trace Buffer Control (TBC)
The TBC is the main controller for the DBG module. Its function is to decide whether data should be stored in the trace buffer based on the trigger mode and the match signals from the comparator. The TBC also determines whether a request to break the CPU should occur.
18.4.2.3
Begin- and End-Trigger
The definitions of begin- and end-trigger as used in the DBG module are as follows: * Begin-trigger: Storage in trace buffer occurs after the trigger and continues until 64 locations are filled. * End-trigger: Storage in trace buffer occurs until the trigger, with the least recent data falling out of the trace buffer if more than 64 words are collected.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 499
Chapter 18 Debug Module (DBG) Block Description
18.4.2.4
Arming the DBG Module
In DBG mode, arming occurs by setting DBGEN and ARM in DBGC1. The ARM bit in DBGC1 is cleared when the trigger condition is met in end-trigger mode or when the Trace Buffer is filled in begin-trigger mode. The TBC logic determines whether a trigger condition has been met based on the trigger mode and the trigger selection.
18.4.2.5
Trigger Modes
The DBG module supports nine trigger modes. The trigger modes are encoded as shown in Table 18-4. The trigger mode is used as a qualifier for either starting or ending the storing of data in the trace buffer. When the match condition is met, the appropriate flag A or B is set in DBGSC. Arming the DBG module clears the A, B, and C flags in DBGSC. In all trigger modes except for the event-only modes and DETAIL capture mode, change-of-flow addresses are stored in the trace buffer. In the event-only modes only the value on the data bus at the trigger event B will be stored. In DETAIL capture mode address and data for all cycles except program fetch (P) and free (f) cycles are stored in trace buffer. 18.4.2.5.1 A Only
In the A only trigger mode, if the match condition for A is met, the A flag in DBGSC is set and a trigger occurs. 18.4.2.5.2 A or B
In the A or B trigger mode, if the match condition for A or B is met, the corresponding flag in DBGSC is set and a trigger occurs. 18.4.2.5.3 A then B
In the A then B trigger mode, the match condition for A must be met before the match condition for B is compared. When the match condition for A or B is met, the corresponding flag in DBGSC is set. The trigger occurs only after A then B have matched. NOTE When tagging and using A then B, if addresses A and B are close together, then B may not complete the trigger sequence. This occurs when A and B are in the instruction queue at the same time. Basically the A trigger has not yet occurred, so the B instruction is not tagged. Generally, if address B is at least six addresses higher than address A (or B is lower than A) and there are not changes of flow to put these in the queue at the same time, then this operation should trigger properly. 18.4.2.5.4 Event-Only B (Store Data)
In the event-only B trigger mode, if the match condition for B is met, the B flag in DBGSC is set and a trigger occurs. The event-only B trigger mode is considered a begin-trigger type and the BEGIN bit in DBGC1 is ignored. Event-only B is incompatible with instruction tagging (TRGSEL = 1), and thus the value of TRGSEL is ignored. Please refer to Section 18.4.2.7, "Storage Memory," for more information.
MC9S12NE64 Data Sheet, Rev 1.0 500 Freescale Semiconductor
Functional Description
This trigger mode is incompatible with the detail capture mode so the detail capture mode will have priority. TRGSEL and BEGIN will not be ignored and this trigger mode will behave as if it were "B only". 18.4.2.5.5 A then Event-Only B (Store Data)
In the A then event-only B trigger mode, the match condition for A must be met before the match condition for B is compared, after the A match has occurred, a trigger occurs each time B matches. When the match condition for A or B is met, the corresponding flag in DBGSC is set. The A then event-only B trigger mode is considered a begin-trigger type and BEGIN in DBGC1 is ignored. TRGSEL in DBGC1 applies only to the match condition for A. Please refer to Section 18.4.2.7, "Storage Memory," for more information. This trigger mode is incompatible with the detail capture mode so the detail capture mode will have priority. TRGSEL and BEGIN will not be ignored and this trigger mode will be the same as A then B. 18.4.2.5.6 A and B (Full Mode)
In the A and B trigger mode, comparator A compares to the address bus and comparator B compares to the data bus. In the A and B trigger mode, if the match condition for A and B happen on the same bus cycle, both the A and B flags in the DBGSC register are set and a trigger occurs. If TRGSEL = 1, only matches from comparator A are used to determine if the trigger condition is met and comparator B matches are ignored. If TRGSEL = 0, full-word data matches on an odd address boundary (misaligned access) do not work unless the access is to a RAM that handles misaligned accesses in a single clock cycle (which is typical of RAM modules used in HCS12 MCUs). 18.4.2.5.7 A and Not B (Full Mode)
In the A and not B trigger mode, comparator A compares to the address bus and comparator B compares to the data bus. In the A and not B trigger mode, if the match condition for A and not B happen on the same bus cycle, both the A and B flags in DBGSC are set and a trigger occurs. If TRGSEL = 1, only matches from comparator A are used to determine if the trigger condition is met and comparator B matches are ignored. As described in Section 18.4.2.5.6, "A and B (Full Mode)," full-word data compares on misaligned accesses will not match expected data (and thus will cause a trigger in this mode) unless the access is to a RAM that handles misaligned accesses in a single clock cycle. 18.4.2.5.8 Inside Range (A address B)
In the inside range trigger mode, if the match condition for A and B happen on the same bus cycle, both the A and B flags in DBGSC are set and a trigger occurs. If a match condition on only A or only B occurs no flags are set. If TRGSEL = 1, the inside range is accurate only to word boundaries. If TRGSEL = 0, an aligned word access which straddles the range boundary will cause a trigger only if the aligned address is within the range. 18.4.2.5.9 Outside Range (address < A or address > B)
In the outside range trigger mode, if the match condition for A or B is met, the corresponding flag in DBGSC is set and a trigger occurs. If TRGSEL = 1, the outside range is accurate only to word boundaries.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 501
Chapter 18 Debug Module (DBG) Block Description
If TRGSEL = 0, an aligned word access which straddles the range boundary will cause a trigger only if the aligned address is outside the range. 18.4.2.5.10 Control Bit Priorities The definitions of some of the control bits are incompatible with each other. Table 18-13 and the notes associated with it summarize how these incompatibilities are handled: * Read/write comparisons are not compatible with TRGSEL = 1. Therefore, RWAEN and RWBEN are ignored. * Event-only trigger modes are always considered a begin-type trigger. See Section 18.4.2.8.1, "Storing with Begin-Trigger," and Section 18.4.2.8.2, "Storing with End-Trigger." * Detail capture mode has priority over the event-only trigger/capture modes. Therefore, event-only modes have no meaning in detail mode and their functions default to similar trigger modes.
Table 18-13. Resolution of Mode Conflicts Mode A only A or B A then B Event-only B A then event-only B A and B (full mode) A and not B (full mode) Inside range Outside range 1 2 5 5 6 6 1, 3 4 5 5 6 6 3 4 Normal / Loop1 Tag Force Tag Detail Force
1 -- Ignored -- same as force 2 -- Ignored for comparator B 3 -- Reduces to effectively "B only" 4 -- Works same as A then B 5 -- Reduces to effectively "A only" -- B not compared 6 -- Only accurate to word boundaries
18.4.2.6
Capture Modes
The DBG in DBG mode can operate in four capture modes. These modes are described in the following subsections. 18.4.2.6.1 Normal Mode
In normal mode, the DBG module uses comparator A and B as triggering devices. Change-of-flow information or data will be stored depending on TRG in DBGSC.
MC9S12NE64 Data Sheet, Rev 1.0 502 Freescale Semiconductor
Functional Description
18.4.2.6.2
Loop1 Mode
The intent of loop1 mode is to prevent the trace buffer from being filled entirely with duplicate information from a looping construct such as delays using the DBNE instruction or polling loops using BRSET/BRCLR instructions. Immediately after address information is placed in the trace buffer, the DBG module writes this value into the C comparator and the C comparator is placed in ignore address mode. This will prevent duplicate address entries in the trace buffer resulting from repeated bit-conditional branches. Comparator C will be cleared when the ARM bit is set in loop1 mode to prevent the previous contents of the register from interfering with loop1 mode operation. Breakpoints based on comparator C are disabled. Loop1 mode only inhibits duplicate source address entries that would typically be stored in most tight looping constructs. It will not inhibit repeated entries of destination addresses or vector addresses, because repeated entries of these would most likely indicate a bug in the user's code that the DBG module is designed to help find. NOTE In certain very tight loops, the source address will have already been fetched again before the C comparator is updated. This results in the source address being stored twice before further duplicate entries are suppressed. This condition occurs with branch-on-bit instructions when the branch is fetched by the first P-cycle of the branch or with loop-construct instructions in which the branch is fetched with the first or second P cycle. See examples below:
LOOP INCX BRCLR ; 1-byte instruction fetched by 1st P-cycle of BRCLR CMPTMP,#$0c,LOOP ; the BRCLR instruction also will be fetched by 1st P-cycle of BRCLR
LOOP2 BRN NOP DBNE
*
; 2-byte instruction fetched by 1st P-cycle of DBNE ; 1-byte instruction fetched by 2nd P-cycle of DBNE A,LOOP2 ; this instruction also fetched by 2nd P-cycle of DBNE
NOTE Loop1 mode does not support paged memory, and inhibits duplicate entries in the trace buffer based solely on the CPU address. There is a remote possibility of an erroneous address match if program flow alternates between paged and unpaged memory space. 18.4.2.6.3 Detail Mode
In the detail mode, address and data for all cycles except program fetch (P) and free (f) cycles are stored in trace buffer. This mode is intended to supply additional information on indexed, indirect addressing modes where storing only the destination address would not provide all information required for a user to determine where his code was in error.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 503
Chapter 18 Debug Module (DBG) Block Description
18.4.2.6.4
Profile Mode
This mode is intended to allow a host computer to poll a running target and provide a histogram of program execution. Each read of the trace buffer address will return the address of the last instruction executed. The DBGCNT register is not incremented and the trace buffer does not get filled. The ARM bit is not used and all breakpoints and all other debug functions will be disabled.
18.4.2.7
Storage Memory
The storage memory is a 64 words deep by 16-bits wide dual port RAM array. The CPU accesses the RAM array through a single memory location window (DBGTBH:DBGTBL). The DBG module stores trace information in the RAM array in a circular buffer format. As data is read via the CPU, a pointer into the RAM will increment so that the next CPU read will receive fresh information. In all trigger modes except for event-only and detail capture mode, the data stored in the trace buffer will be change-of-flow addresses. change-of-flow addresses are defined as follows: * Source address of conditional branches (long, short, BRSET, and loop constructs) taken * Destination address of indexed JMP, JSR, and CALL instruction * Destination address of RTI, RTS, and RTC instructions * Vector address of interrupts except for SWI and BDM vectors In the event-only trigger modes only the 16-bit data bus value corresponding to the event is stored. In the detail capture mode, address and then data are stored for all cycles except program fetch (P) and free (f) cycles.
18.4.2.8
18.4.2.8.1
Storing Data in Memory Storage Buffer
Storing with Begin-Trigger
Storing with begin-trigger can be used in all trigger modes. When DBG mode is enabled and armed in the begin-trigger mode, data is not stored in the trace buffer until the trigger condition is met. As soon as the trigger condition is met, the DBG module will remain armed until 64 words are stored in the trace buffer. If the trigger is at the address of the change-of-flow instruction the change-of-flow associated with the trigger event will be stored in the trace buffer. 18.4.2.8.2 Storing with End-Trigger
Storing with end-trigger cannot be used in event-only trigger modes. When DBG mode is enabled and armed in the end-trigger mode, data is stored in the trace buffer until the trigger condition is met. When the trigger condition is met, the DBG module will become de-armed and no more data will be stored. If the trigger is at the address of a change-of-flow address the trigger event will not be stored in the trace buffer.
MC9S12NE64 Data Sheet, Rev 1.0 504 Freescale Semiconductor
Functional Description
18.4.2.9
Reading Data from Trace Buffer
The data stored in the trace buffer can be read using either the background debug module (BDM) module or the CPU provided the DBG module is enabled and not armed. The trace buffer data is read out first-in first-out. By reading CNT in DBGCNT the number of valid words can be determined. CNT will not decrement as data is read from DBGTBH:DBGTBL. The trace buffer data is read by reading DBGTBH:DBGTBL with a 16-bit read. Each time DBGTBH:DBGTBL is read, a pointer in the DBG will be incremented to allow reading of the next word. Reading the trace buffer while the DBG module is armed will return invalid data and no shifting of the RAM pointer will occur. NOTE The trace buffer should be read with the DBG module enabled and in the same capture mode that the data was recorded. The contents of the trace buffer counter register (DBGCNT) are resolved differently in detail mode verses the other modes and may lead to incorrect interpretation of the trace buffer data.
18.4.3
Breakpoints
There are two ways of getting a breakpoint in DBG mode. One is based on the trigger condition of the trigger mode using comparator A and/or B, and the other is using comparator C. External breakpoints generated using the TAGHI and TAGLO external pins are disabled in DBG mode.
18.4.3.1
Breakpoint Based on Comparator A and B
A breakpoint request to the CPU can be enabled by setting DBGBRK in DBGC1. The value of BEGIN in DBGC1 determines when the breakpoint request to the CPU will occur. When BEGIN in DBGC1 is set, begin-trigger is selected and the breakpoint request will not occur until the trace buffer is filled with 64 words. When BEGIN in DBGC1 is cleared, end-trigger is selected and the breakpoint request will occur immediately at the trigger cycle. There are two types of breakpoint requests supported by the DBG module, tagged and forced. Tagged breakpoints are associated with opcode addresses and allow breaking just before a specific instruction executes. Forced breakpoints are not associated with opcode addresses and allow breaking at the next instruction boundary. The type of breakpoint based on comparators A and B is determined by TRGSEL in the DBGC1 register (TRGSEL = 1 for tagged breakpoint, TRGSEL = 0 for forced breakpoint). Table 18-14 illustrates the type of breakpoint that will occur based on the debug run.
Table 18-14. Breakpoint Setup BEGIN 0 0 TRGSEL 0 0 DBGBRK 0 1 Type of Debug Run Fill trace buffer until trigger address (no CPU breakpoint -- keep running) Fill trace buffer until trigger address, then a forced breakpoint request occurs
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 505
Chapter 18 Debug Module (DBG) Block Description
Table 18-14. Breakpoint Setup (Continued) BEGIN 0 0 1 1 1 1 TRGSEL 1 1 0 0 1 1 DBGBRK 0 1 0 1 0 1 Type of Debug Run Fill trace buffer until trigger opcode is about to execute (no CPU breakpoint -- keep running) Fill trace buffer until trigger opcode about to execute, then a tagged breakpoint request occurs Start trace buffer at trigger address (no CPU breakpoint -- keep running) Start trace buffer at trigger address, a forced breakpoint request occurs when trace buffer is full Start trace buffer at trigger opcode (no CPU breakpoint -- keep running) Start trace buffer at trigger opcode, a forced breakpoint request occurs when trace buffer is full
18.4.3.2
Breakpoint Based on Comparator C
A breakpoint request to the CPU can be created if BKCEN in DBGC2 is set. Breakpoints based on a successful comparator C match can be accomplished regardless of the mode of operation for comparator A or B, and do not affect the status of the ARM bit. TAGC in DBGC2 is used to select either tagged or forced breakpoint requests for comparator C. Breakpoints based on comparator C are disabled in LOOP1 mode. NOTE Because breakpoints cannot be disabled when the DBG is armed, one must be careful to avoid an "infinite breakpoint loop" when using tagged-type C breakpoints while the DBG is armed. If BDM breakpoints are selected, executing a TRACE1 instruction before the GO instruction is the recommended way to avoid re-triggering a breakpoint if one does not wish to de-arm the DBG. If SWI breakpoints are selected, disarming the DBG in the SWI interrupt service routine is the recommended way to avoid re-triggering a breakpoint.
18.4.4
Resets
The DBG module is disabled after reset. The DBG module cannot cause a MCU reset.
18.4.5
Interrupts
The DBG contains one interrupt source. If a breakpoint is requested and BDM in DBGC2 is cleared, an SWI interrupt will be generated.
MC9S12NE64 Data Sheet, Rev 1.0 506 Freescale Semiconductor
Appendix A Electrical Characteristics
NOTE The MC9S12NE64 is specified and tested at the 3.3-V range. This section contains the most accurate electrical information for the MC9S12NE64 microcontroller available at the time of publication. The information is subject to change. This introduction is intended to give an overview on several common topics like power supply, current injection etc.
A.1
Parameter Classification
The electrical parameters shown in this supplement are guaranteed by various methods. To give the customer a better understanding the following classification is used and the parameters are tagged accordingly in the tables where appropriate, under the "C" column heading. P: Those parameters are guaranteed during production testing on each individual device. C: Those parameters are achieved by the design characterization by measuring a statistically relevant sample size across process variations. They are regularly verified by production monitors. T: Those parameters are achieved by design characterization on a small sample size from typical devices. All values shown in the typical column are within this category. D: Those parameters are derived mainly from simulations.
A.2
Power Supply
The MC9S12NE64 uses several pins to supply power to the I/O ports, A/D converter, oscillator and PLL, the Ethernet Physical Transceiver (EPHY), as well as the digital core. * * * * * * * * * The VDDA, VSSA pair supplies the A/D converter and portions of the EPHY The VDDX1, VDDX2, VSSX1, VSSX2 pairs supply the I/O pins, and internal voltage regulator The VDDR supplies the internal voltage regulator, and is the VREGEN signal VDD1, VSS1, VDD2, and VSS2 are the supply pins for the digital logic VDDPLL, VSSPLL supply the oscillator and the PLL VSS1 and VSS2 are internally connected by metal VDD1 and VDD2 are internally connected by metal PHY_VDDA, PHY_VSSA are power supply pins for EPHY analog PHY_VDDRX, PHY_VSSRX are power supply pins for EPHY receiver
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 507
Appendix A Electrical Characteristics
* *
PHY_VDDTX, PHY_VSSTX are power supply pins for EPHY transmitter VDDA, VDDX1, VDDX2 as well as VSSA, VSSX1, VSSX2 are connected by anti-parallel diodes for ESD protection. NOTE In the following context: * * * * * * * * * * VDD3 is used for either VDDA, VDDR, and VDDX1/VDDX2 VSS3 is used for either VSSA, VSSR, and VSSX1/VSSX2 unless otherwise noted IDD3 denotes the sum of the currents flowing into the VDDA, VDDR, and VDDX1/VDDX2 pins VDD is used for VDD1, VDD2, VDDPLL, PHY_VDDTX, PHY_VDDRX, and PHY_VDDA VSS is used for VSS1, VSS2, VSSPL, PHY_VSSTX, PHY_VSSRX, and PHY_VSSA IDD is used for the sum of the currents flowing into VDD1, VDD2 IDDPHY is used for the sum of currents flowing into PHY_VDDTX, PHY_VDDRX, and PHY_VDDA VDDPHY is used for PHY_VDDTX, PHY_VDDRX, and PHY_VDDA VDDTX is used for twisted pair differential voltage present on the PHY_TXP and PHY_TXN pins IDDTX is used for twisted pair differential current flowing into the PHY_TXP or PHY_TXN pins
A.3
A.3.1
Pins
3.3 V I/O Pins
There are four groups of functional pins.
These I/O pins have a nominal level of 3.3 V. This group of pins is comprised of all port I/O pins, the analog inputs, BKGD pin and the RESET inputs. The internal structure of these pins are identical, however some of the functionality may be disabled.
A.3.2
Analog Reference, Special Function Analog
This group of pins is comprised of the VRH, VRL, PHY_TXN, PHY_TXP, PHY_RXN, PHY_RXP, and RBIAS pins.
MC9S12NE64 Data Sheet, Rev 1.0 508 Freescale Semiconductor
A.3.3
Oscillator
The pins XFC, EXTAL, XTAL dedicated to the oscillator have a nominal 2.5 V level. They are supplied by VDDPLL.
A.3.4
TEST
This pin is used for production testing only, and should be tied to ground during normal operation.
A.4
Current Injection
Power supply must maintain regulation within operating VDD3 or VDD range during instantaneous and operating maximum current conditions. If positive injection current (Vin > VDD3) is greater than IDD3, the injection current may flow out of VDD3 and could result in external power supply going out of regulation. Ensure external VDD3 load will shunt current greater than maximum injection current. This will be the greatest risk when the MCU is not consuming power; e.g., if no system clock is present, or if clock rate is very low, which would reduce overall power consumption.
A.5
Absolute Maximum Ratings
Absolute maximum ratings are stress ratings only. A functional operation under or outside those maxima is not guaranteed. Stress beyond those limits may affect the reliability or cause permanent damage of the device. This device contains circuitry protecting against damage due to high static voltage or electrical fields; however, it is advised that normal precautions be taken to avoid application of any voltages higher than maximum-rated voltages to this high-impedance circuit. Reliability of operation is enhanced if unused inputs are tied to an appropriate logic voltage level (e.g., either VSS3 or VDD3).
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 509
Appendix A Electrical Characteristics
Table A-1. Absolute Maximum Ratings
Num
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
1 2 3 4 5
Rating
I/O, Regulator and Analog Supply Voltage Digital Logic Supply Voltage 1 PLL Supply Voltage 1 Voltage difference VDDX to VDDR and VDDA Voltage difference VSSX to VSSR and VSSA Digital I/O Input Voltage Analog Reference XFC, EXTAL, XTAL inputs TEST input Instantaneous Maximum Current Single pin limit for all digital I/O pins 2 Instantaneous Maximum Current Single pin limit for XFC, EXTAL, XTAL 3 Instantaneous Maximum Current Single pin limit for TEST 4 Operating Temperature Range (ambient) Operating Temperature Range (junction) Storage Temperature Range
Symbol
VDD3 VDD VDDPLL VDDX VSSX VIN VRH, VRL VILV VTEST I I
D
Min
-0.3 -0.3 -0.3 -0.3 -0.3 -0.3 -0.3 -0.3 -0.3 -25 -25 -0.25 -40 -40 -65
Max
4.5 3.0 3.0 0.3 0.3 6.5 6.5 3.0 10.0 +25 +25 0 105 5 125 155
Unit
V V V V V V V V V mA mA mA C C C
DL
IDT TA TJ T
stg
The device contains an internal voltage regulator to generate the logic and PLL supply out of the I/O supply. The absolute maximum ratings apply when the device is powered from an external source. All digital I/O pins are internally clamped to VSSX and VDDX, VDDR or VSSA and VDDA. These pins are internally clamped to VSSPLL and VDDPLL. This pin is clamped low to VSSPLL, but not clamped high. This pin must be tied low in applications. Maximum ambient temperature is package dependent.
A.6
ESD Protection and Latch-Up Immunity
All ESD testing is in conformity with CDF-AEC-Q100 stress test qualification for automotive grade integrated circuits. During the device qualification ESD stresses were performed for the human body model (HBM), the machine model (MM), and the charge device model. A device will be defined as a failure if after exposure to ESD pulses the device no longer meets the device specification. Complete DC parametric and functional testing is performed per the applicable device specification at room temperature followed by hot temperature, unless specified otherwise in the device specification.
MC9S12NE64 Data Sheet, Rev 1.0 510 Freescale Semiconductor
Table A-2. ESD and Latch-Up Test Conditions
Model
Series Resistance Storage Capacitance Human Body Number of Pulse per pin positive negative Series Resistance Storage Capacitance Machine Number of Pulse per pin positive negative Minimum input voltage limit Latch-up Maximum input voltage limit 7.5 V
Description
Symbol
R1 C -- R1 C --
Value
1500 100 -- 3 3 0 200 -- 3 3 -2.5
Unit
pF
pF
V
Table A-3. ESD and Latch-Up Protection Characteristics
Num
1 2 3 4
C
C C C C
Rating
Human Body Model (HBM) Machine Model (MM) Charge Device Model (CDM) Latch-up Current at 125C positive negative Latch-up Current at 27C positive negative
Symbol
VHBM VMM VCDM ILAT
Min
2000 200 500 +100 -100 +200 -200
Max
-- -- -- --
Unit
V V V mA
5
C
ILAT
--
mA
A.7
Operating Conditions
This section describes the operating conditions of the device. Unless otherwise noted those conditions apply to all the following data. NOTE Instead of specifying ambient temperature, all parameters are specified for the more meaningful silicon junction temperature. For power dissipation calculations refer to Section A.8, "Power Dissipation and Thermal Characteristics."
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 511
Appendix A Electrical Characteristics
Table A-4. Operating Conditions
Rating
I/O and Regulator Supply Voltage Analog Supply Voltage Regulator Supply Voltage Digital Logic Supply Voltage 1 PLL Supply Voltage 1 Voltage Difference VDDX1/VSSX2 to VDDA Voltage Difference VSSX/VSSX2 to VSSA Oscillator 2 Bus Frequency Operating Junction Temperature Range
1
Symbol
VDDX VDDA VDDR VDD VDDPLL VDDX VSSX fosc fbus T
J
Min
3.135 3.135 3.135 2.375 2.375 -0.1 -0.1 0.5 0.5 -40
Typ
3.3 3.3 3.3 2.5 2.5 0 0 -- -- --
Max
3.465 3.465 3.465 2.625 2.625 0.1 0.1 25 25 125
Unit
V V V V V V V MHz MHz C
The device contains an internal voltage regulator to generate VDD1, VDD2, VDDPLL, PHY_VDDRX, PHY_VDDTX and PHY_VDDA supplies out of the VDDX and VDDR supply. The absolute maximum ratings apply when this regulator is disabled and the device is powered from an external source. 2 For the internal Ethernet physical transceiver (EPHY) to operate properly a 25 MHz oscillator is required.
A.8
Power Dissipation and Thermal Characteristics
Power dissipation and thermal characteristics are closely related. The user must assure that the maximum operating junction temperature is not exceeded. The average chip-junction temperature (TJ) in C can be obtained from:
T J = T A + ( P D * JA ) T J = Junction Temperature, [C ] T A = Ambient Temperature, [C ] P D = Total Chip Power Dissipation, [W] JA = Package Thermal Resistance, [C/W]
The total power dissipation can be calculated from:
P D = P INT + P IO P INT = Chip Internal Power Dissipation, [W]
MC9S12NE64 Data Sheet, Rev 1.0 512 Freescale Semiconductor
Two cases with internal voltage regulator enabled and disabled must be considered: 1. Internal Voltage Regulator disabled
P INT =I DD V DD +I DDPLL V DDPLL +I DDA V DDA +I DDPHY V DDPHY +I DDTX V DDTX
P IO =
RDSON IIOi
i
2
Which is the sum of all output currents on I/O ports associated with VDDX. For RDSON is valid:
V OL R DSON = ----------- ;for outputs driven low I OL
respectively
V DD3 ( 5 ) - V OH R DSON = ------------------------------------------- ;for outputs driven high I OH
2. Internal voltage regulator enabled
P INT =I DDR V DDR +I DDA V DDA +I DDX V DDX +I DDTX V DDTX
IDDX is the current shown in Table A-7 and not the overall current flowing into VDDX, which additionally contains the current flowing into the external loads with output high.
P IO =
RDSON IIOi
i
2
Which is the sum of all output currents on I/O ports associated with VDDX.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 513
Appendix A Electrical Characteristics
Table A-5. Thermal Package Characteristics 1
Num
1 2 3 4 5 6 7 8 9 10 6 7 8 9 10
1 2
C
T T T T T T T T T T T T T T T
Rating
Thermal Resistance LQFP112, single sided PCB 2 Thermal Resistance LQFP112, double sided PCB with two internal planes 3 Junction to Board LQFP112 Junction to Case LQFP112 Junction to Package Top LQFP112 Thermal Resistance TQFP-EP80, single sided PCB Thermal Resistance TQFP-EP80, double sided PCB with two internal planes Junction to Board TQFP-EP80 Junction to Case TQFP-EP80 Junction to Package Top TQFP-EP80 Thermal Resistance Epad TQFP-EP80, single sided PCB Thermal Resistance Epad TQFP-EP80, double sided PCB with two internal planes Junction to Board TQFP-EP80 Junction to Case TQFP-EP80 4 Junction to Package Top TQFP-EP80
Symbol
JA JA JB JC JT JA JA JB JC JT JA JA JB JC JT
Min
-- -- -- -- -- -- -- -- -- -- -- -- -- -- --
Typ
-- -- -- -- -- -- -- -- -- -- -- -- -- -- --
Max
54 41 31 11 2 51 41 27 14 3 48 24 10 0.7 2
Unit
oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W oC/W
The values for thermal resistance are achieved by package simulations PC Board according to EIA/JEDEC Standard 51-3 3 PC Board according to EIA/JEDEC Standard 51-7 4 Thermal resistance between the die and the exposed die pad.
A.9
I/O Characteristics
This section describes the characteristics of all 3.3 V I/O pins. All parameters are not always applicable; e.g., not all pins feature pullup/pulldown resistances.
MC9S12NE64 Data Sheet, Rev 1.0 514 Freescale Semiconductor
Table A-6. Preliminary 3.3 V I/O Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1
C
P T P Input High Voltage Input High Voltage Input Low Voltage Input Low Voltage Input Hysteresis
Rating
Symbol
V
IH
Min
0.65*VDD3 -- -- VSS3 - 0.3
Typ
-- -- -- -- 250
Max
-- VDD3 + 0.3 0.35*VDD3 --
Unit
V V V V mV A
VIH V
IL
2 T 3 C V
VIL
HYS
4
P
Input Leakage Current (pins in high ohmic input mode) 1 Vin = VDD5 or VSS5 Output High Voltage (pins in output mode) Partial Drive IOH = -0.75 mA Output High Voltage (pins in output mode) Full Drive IOH = -4.5 mA Output Low Voltage (pins in output mode) Partial Drive IOL = +0.9 mA Output Low Voltage (pins in output mode) Full Drive IOL = +5.5 mA Internal Pull Up Device Current, tested at V Max.
IL
Iin
-2.5
--
2.5
5
C
V
OH
VDD3 - 0.4 VDD3 - 0.4
--
--
V
6
P
V
OH
--
--
V
7
C
V
OL
--
--
0.4
V
8
P
VOL IPUL IPUH IPDH IPDL Cin IICS IICP tPIGN tPVAL
--
--
0.4
V A A A A pF s s
9
P
--
--
-60
10
C
Internal Pull Up Device Current, tested at V Min.
IH
-6
--
--
11
P
Internal Pull Down Device Current, tested at V Min.
IH
--
--
60
12 13 14 15 16
1
C D T P P
Internal Pull Down Device Current, tested at V Max.
IL
6
-- 7
-- -- 2.5 25 3
Input Capacitance Injection current 2 Single Pin limit Total Device Limit. Sum of all injected currents Port G, H, and J Interrupt Input Pulse filtered 3 Port G, H, and J Interrupt Input Pulse passed3
-2.5 -25
--
10
Maximum leakage current occurs at maximum operating temperature. Current decreases by approximately one-half for each 8C to 12C in the temperature range from 50C to 125C. 2 Refer to Section A.4, "Current Injection," for more details. 3 Parameter only applies in stop or pseudo stop mode.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 515
Appendix A Electrical Characteristics
A.10 Supply Currents
This section describes the current consumption characteristics of the device as well as the conditions for the measurements.
A.10.1 Measurement Conditions
All measurements are without output loads. Unless otherwise noted the currents are measured in single chip mode, internal voltage regulator enabled and at 25 MHz bus frequency using a 25 MHz oscillator.
A.10.2 Additional Remarks
In expanded modes the currents flowing in the system are highly dependent on the load at the address, data and control signals as well as on the duty cycle of those signals. No generally applicable numbers can be given. A very good estimate is to take the single chip currents and add the currents due to the external loads.
Table A-7. Supply Current Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
C
P C P C C C P C P C C
Rating
Run supply currents Single chip, internal regulator enabled, EPHY disabled Single chip, internal regulator enabled, EPHY auto negotiate 1 Single chip, internal regulator enabled, EPHY 100BASE-TX1 Single chip, internal regulator enabled, EPHY 10BASE-T1 Wait supply current All modules enabled All modules but EPHY enabled only RTI enabled Pseudo stop current (RTI and COP enabled) -40C 27C 85C 105C Pseudo stop current (RTI and COP disabled) -40C 27C 85C 105C Stop current -40C 27C 85C 105C
Symbol
Min
Typ
Max
65 285 265 185 270 50 5
Unit
1
IDD3
mA
2
IDDW
mA
3
IDDPS
600 600 1000 1000 160 160 700 700 60 60 400 500
750 5000
A
4
C C C C C P C C
IDDPS
400 5000
A
5
IDDS
200 5000
A
1
When calculating power consumption, the additional current sunk by the PHY_TXN and PHY_TXP pins must be taken into account. See Table A-8 for currents and voltages to use in the power calculations.
MC9S12NE64 Data Sheet, Rev 1.0 516 Freescale Semiconductor
Table A-8. EPHY Twisted Pair Transmit Pin Characteristics
Num
1
C
C
Rating
Auto-negotiate transmitter current
Symbol
IDDTX
Min
Typ
130
Max
Unit
mA
2
C
Auto-negotiate transmitter voltage
VDDTX
VDD3 - 1.1 V
V
3
C
10BASE-T mode transmitter current
IDDTX
130
mA
4
C
10BASE-T mode transmitter voltage
VDDTX 45
VDD3 - 1.1 V
V
5
C
100BASE-TX mode transmitter current
IDDTX
mA
6
C
100BASE-TX mode transmitter voltage
VDDTX
VDD3 - 0.95 V
V
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 517
A.11
ATD Electrical Characteristics
This section describes the characteristics of the analog-to-digital converter.
A.11.1
ATD Operating Characteristics -- 3.3 V Range
Table 18-15 shows conditions under which the ATD operates. The following constraints exist to obtain full-scale, full range results: VSSA VRL VIN VRH VDDA. This constraint exists since the sample buffer amplifier can not drive beyond the power supply levels that it ties to. If the input level goes outside of this range it will effectively be clipped.
Table 18-15. 3.3V ATD Operating Characteristics
Conditions are shown in Table A-4 unless otherwise noted; Supply Voltage 3.3 V-10% <= VDDA <= 3.3 V +10%
Num C
1 D Reference Potential
Rating
Low High
Symbol
VRL VRH VRH-VRL fATDCLK NCONV10 TCONV10 NCONV8 TCONV8 tREC IREF
Min
VSSA VDDA/2 3.0 0.5 14 7
Typ
Max
VDDA/2 VDDA
Unit
V V V MHz Cycles s Cycles s s mA
2 3 4
C Differential Reference Voltage D ATD Clock Frequency D ATD 10-Bit Conversion Period Clock Cycles 1 Conv, Time at 2.0 MHz ATD Clock fATDCLK
3.3
3.6 2.0 28 14
5
D ATD 8-Bit Conversion Period Clock Cycles1 Conv, Time at 2.0 MHz ATD Clock fATDCLK 12 6 26 13 20 0.250
6 7
1
D Recovery Time (VDDA = 3.3 V) P Reference Supply current
The minimum time assumes a final sample period of 2 ATD clocks cycles while the maximum time assumes a final sample period of 16 ATD clocks.
A.11.2
Factors Influencing Accuracy
Three factors -- source resistance, source capacitance, and current injection -- have an influence on the accuracy of the ATD.
A.11.2.1
Source Resistance
Due to the input pin leakage current as specified in Table A-6 in conjunction with the source resistance there will be a voltage drop from the signal source to the ATD input. The maximum source resistance RS specifies results in an error of less than 1/2 LSB (2.5 mV) at the maximum leakage current. If device or operating conditions are less than worst case or leakage-induced error is acceptable, larger values of source resistance are allowed.
MC9S12NE64 Data Sheet, Rev 1.0 518 Freescale Semiconductor
A.11.2.2
Source Capacitance
When sampling an additional internal capacitor is switched to the input. This can cause a voltage drop due to charge sharing with the external and the pin capacitance. For a maximum sampling error of the input voltage 1LSB, then the external filter capacitor, Cf 1024 * (CINS- CINN).
A.11.2.3
Current Injection
There are two cases to consider. * A current is injected into the channel being converted. The channel being stressed has conversion values of $3FF ($FF in 8-bit mode) for analog inputs greater than VRH and $000 for values less than VRL unless the current is higher than specified as disruptive conditions. * Current is injected into pins in the neighborhood of the channel being converted. A portion of this current is picked up by the channel (coupling ratio K), This additional current impacts the accuracy of the conversion depending on the source resistance. The additional input voltage error on the converted channel can be calculated as VERR = K * RS * IINJ, with IINJ being the sum of the currents injected into the two pins adjacent to the converted channel.
Table A-9. ATD Electrical Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1 2
C
C T
Rating
Max input Source Resistance Total Input Capacitance Non Sampling Sampling Disruptive Analog Input Current Coupling Ratio positive current injection Coupling Ratio negative current injection
Symbol
RS CINN CINS INA Kp Kn
Min
--
Typ
--
Max
1 10 15
Unit
k pF
3 4 5
C C C
-2.5
2.5 10-4 10-2
mA A/A A/A
A.11.3
ATD Accuracy -- 3.3 V Range
Table A-10 specifies the ATD conversion performance excluding any errors due to current injection, input capacitance and source resistance.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 519
Table A-10. 3.3-V A/D Conversion Performance
Conditions are shown in Table A-4 unless otherwise noted VREF = VRH - VRL = 3.328 V. Resulting to one 8 bit count = 13 mV and one 10 bit count = 3.25 mV fATDCLK = 2.0 MHz
Num C
1 2 3 4 5 6 7 8 9
1
Rating
Symbol
LSB DNL INL AE AE LSB DNL INL AE
Min
Typ
3.25
Max
Unit
mV
P 10-Bit Resolution P 10-Bit Differential Nonlinearity P 10-Bit Integral Nonlinearity P 10-Bit Absolute Error 1 C 10-Bit Absolute Error at fATDCLK= 4MHz P 8-Bit Resolution P 8-Bit Differential Nonlinearity P 8-Bit Integral Nonlinearity P 8-Bit Absolute Error1
-1.5 -3.5 -5 1.5 2.5 7.0 13 -0.5 -1.5 -2.0 1.0 1.5
1.5 3.5 5
Counts Counts Counts Counts mV
0.5 1.5 2.0
Counts Counts Counts
These values include the quantization error which is inherently 1/2 count for any A/D converter.
For the following definitions see also Figure A-1. Differential non-linearity (DNL) is defined as the difference between two adjacent switching steps.
Vi - Vi - 1 DNL ( i ) = ------------------------ - 1 1LSB
The integral non-linearity (INL) is defined as the sum of all DNLs: n
INL ( n ) =
i=1
Vn - V0 DNL ( i ) = ------------------- - n 1LSB
MC9S12NE64 Data Sheet, Rev 1.0 520 Freescale Semiconductor
DNL
LSB Vi-1
$3FF $3FE $3FD $3FC $3FB $3FA $3F9 $3F8 $3F7 $3F6 $3F5
10-Bit Absolute Error Boundary Vi 8-Bit Absolute Error Boundary
$FF
$FE
10-Bit Resolution
$3F4 $3F3
$FD
9 8 7 6 5 4 3 2 1 0 5 10 15 20 25 30 35 40 50
Ideal Transfer Curve
2
10-Bit Transfer Curve
1
8-Bit Transfer Curve
5055 5060 5065 5070 5075 5080 5085 5090 5095 5100 5105 5110 5115 5120
Vin mV
Figure A-1. ATD Accuracy Definitions
NOTE Figure A-1 shows only definitions, for specification values refer to Table A-10.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 521
8-Bit Resolution
A.12
Reset, Oscillator, and PLL Electrical Characteristics
This section summarizes the electrical characteristics of the various startup scenarios for oscillator and phase-locked loop (PLL).
A.12.1
Startup
Table A-11 summarizes several startup characteristics explained in this section. Detailed description of the startup behavior can be found in the clock and reset generator (CRG) block description chapter.
Table A-11. Startup Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1 2 3 4 5 6 7 8
C
T T D D D D P P POR release level POR assert level
Rating
Symbol
VPORR VPORA PWRSTL nRST PWIRQ tWRS VLVRR VLVRA
Min
Typ
Max
2.07
Unit
V V tosc
0.97 2 192 20 14 2.25 2.55 196
Reset input pulse width, minimum input time Startup from Reset Interrupt pulse width, IRQ edge-sensitive mode Wait recovery startup time LVR release level LVR assert level
nosc ns tcyc V V
A.12.1.1
POR
The release level VPORR and the assert level VPORA are derived from the VDD supply. They are also valid if the device is powered externally. After releasing the POR reset the oscillator and the clock quality check are started. If after a time tCQOUT no valid oscillation is detected, the MCU will start using the internal self clock. The fastest startup time possible is given by nuposc.
A.12.1.2
LVR
The release level VLVRR and the assert level VLVRA are derived from the VDD supply. They are also valid if the device is powered externally. After releasing the LVR reset the oscillator and the clock quality check are started. If after a time tCQOUT no valid oscillation is detected, the MCU will start using the internal self clock. The fastest startup time possible is given by nuposc.
A.12.1.3
SRAM Data Retention
Provided an appropriate external reset signal is applied to the MCU, preventing the CPU from executing code when VDD5 is out of specification limits, the SRAM contents integrity is guaranteed if after the reset the PORF bit in the CRG flags register has not been set.
MC9S12NE64 Data Sheet, Rev 1.0 522 Freescale Semiconductor
A.12.1.4
External Reset
When external reset is asserted for a time greater than PWRSTL the CRG module generates an internal reset, and the CPU starts fetching the reset vector without doing a clock quality check, if there was an oscillation before reset.
A.12.1.5
Stop Recovery
Out of stop, the controller can be woken up by an external interrupt. A clock quality check as after POR is performed before releasing the clocks to the system.
A.12.1.6
Pseudo Stop and Wait Recovery
The recovery from pseudo stop and wait are essentially the same because the oscillator was not stopped in either mode. The controller can be woken up by internal or external interrupts. After twrs the CPU starts fetching the interrupt vector.
A.12.2
Oscillator
The device features an internal Pierce oscillator. Before asserting the oscillator to the internal system clocks the quality of the oscillation is checked for each start from either power-on, STOP or oscillator fail. tCQOUT specifies the maximum time before switching to the internal self clock mode after POR or STOP if a proper oscillation is not detected. The quality check also determines the minimum oscillator start-up time tUPOSC. The device also features a clock monitor. A clock monitor failure is asserted if the frequency of the incoming clock signal is below the assert frequency fCMFA.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 523
Table A-12. Oscillator Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num C
1 2 3 4 5 6 7 8 9 10 11 12
Rating
Symbol
fOSC iOSC tUPOSC tCQOUT fCMFA fEXT tEXTL tEXTH tEXTR tEXTF CIN VDCBIAS V IH,EXTAL V IH,EXTAL V IL,EXTAL V IL,EXTAL VHYS,EXTAL
Min
0.5 100
Typ
Max
40
Unit
MHz A
C Crystal oscillator range (Pierce) 1, 2 P Startup current C Oscillator start-up time (Pierce) D Clock quality check time-out P Clock monitor failure assert frequency P External square wave input frequency 2 D External square wave pulse width low D External square wave pulse width high D External square wave rise time D External square wave fall time D Input capacitance (EXTAL, XTAL pins) C DC operating bias in Colpitts configuration on EXTAL pin EXTAL pin input high voltage4 EXTAL pin input high voltage4 EXTAL pin input low voltage4 EXTAL pin input low voltage4 EXTAL pin input hysteresis4
83 0.45 50 0.5 9.5 9.5 100
100 4 2.5 200 50
ms s kHz MHz ns ns
1 1 7 1.1 0.7*VDDPLL VDDPLL+ 0.3 0.3*VDDPLL VSSPLL- 0.3 250
ns ns pF V V V V V mV
Depending on the crystal a damping series resistor might be necessary XCLKS =0 during reset 3f osc = 25 MHz, C = 22 pF. 4 Maximum value is for extreme cases using high Q, low frequency crystals
2
1
A.12.3
Phase-Locked Loop
The oscillator provides the reference clock for the PLL. The PLLs voltage controlled oscillator (VCO) is also the system clock source in self clock mode.
A.12.3.1
XFC Component Selection
This section describes the selection of the XFC components to achieve a good filter characteristics.
MC9S12NE64 Data Sheet, Rev 1.0 524 Freescale Semiconductor
Cp VDDPLL Cs fosc fref 1 refdv+1 fcmp R Phase K Detector Loop Divider 1 synr+1
Figure 18-17. Basic PLL Functional Diagram
XFC Pin
VCO KV fvco
1 2
The following procedure can be used to calculate the resistance and capacitance values using typical values for K1, f1 and ich from Table A-13. The grey boxes show the calculation for fVCO = 50 MHz and fref = 1 MHz. E.g., these frequencies are used for fOSC = 4 MHz and a 25 MHz bus clock. The VCO Gain at the desired VCO frequency is approximated by: ( f 1 - f vco ) ---------------------K 1 1V ( 60 - 50 ) ---------------------- 100
KV = K1 e
= - 100 e
= -90.48MHz/V
The phase detector relationship is given by:
K = - i ch K V
ich is the current in tracking mode.
= 316.7Hz/
The loop bandwidth fC should be chosen to fulfill the Gardner's stability criteria by at least a factor of 10, typical values are 50. = 0.9 ensures a good transient response.
2 f ref f ref 1 f C < ------------------------------------------ ----- f C < ------------ ;( = 0.9 ) 4 10 10 2 + 1 + fC < 25kHz
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 525
And finally the frequency relationship is defined as:
f VCO n = ------------ = 2 ( synr + 1 ) f ref
= 50
With the above values the resistance can be calculated. The example is shown for a loop bandwidth fC = 10 kHz:
2 n fC R = ---------------------------- = 2**50*10kHz/(316.7Hz/)=9.9k=~10k K
The capacitance Cs can now be calculated as:
0.516 2 C s = --------------------- -------------- ;( = 0.9 ) = 5.19nF =~ 4.7nF fC R fC R
The capacitance Cp should be chosen in the range of:
2
C s 20 C p C s 10
Cp = 470pF
A.12.3.1.1 Jitter Information The basic functionality of the PLL is shown in Figure 18-17. With each transition of the clock fcmp, the deviation from the reference clock fref is measured and input voltage to the VCO is adjusted accordingly. The adjustment is done continuously with no abrupt changes in the clock output frequency. Noise, voltage, temperature, and other factors cause slight variations in the control loop resulting in a clock jitter. This jitter affects the real minimum and maximum clock periods as illustrated in Figure 18-18. 0 1 2 3 N-1 N
tmin1 tnom tmax1 tminN tmaxN
Figure 18-18. Jitter Definitions
MC9S12NE64 Data Sheet, Rev 1.0 526 Freescale Semiconductor
The relative deviation of tnom is at its maximum for one clock period, and decreases towards zero for larger number of clock periods (N). Defining the jitter as:
t max ( N ) t min ( N ) J ( N ) = max 1 - -------------------- , 1 - -------------------- N t nom N t nom
For N < 100, the following equation is a good fit for the maximum jitter:
j1 J ( N ) = ------- + j 2 N
J(N)
1
5
10
20
N
This is very important to notice with respect to timers, serial modules where a prescaler will eliminate the effect of the jitter to a large extent.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 527
Table A-13. PLL Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
1 2
C
P D D D D D C D D D D D D C C
Rating
Self clock mode frequency VCO locking range Lock detector transition from acquisition to tracking mode Lock detection Unlock detection Lock detector transition from tracking to acquisition mode PLLON total stabilization delay (auto mode) 2 PLLON acquisition mode stabilization delay 2 PLLON tracking mode stabilization delay 2 Fitting parameter VCO loop gain Fitting parameter VCO loop frequency Charge pump current acquisition mode Charge pump current tracking mode Jitter fit parameter 12 Jitter fit parameter 22
Symbol
fSCM fVCO |trk| |Lock| |unl| |unt| tstab tacq tal K1 f1 | ich | | ich | j1 j2
Min
1 8 3 0 0.5 6
Typ
Max
5.5 50 4 1.5 2.5 8
Unit
MHz MHz %1 %1 %1 %1 ms ms ms MHz/V MHz A A
0.5 0.3 0.2 -100 60 38.5 3.5 1.1 0.13
% %
% deviation from target frequency fREF = 25 MHz, fBUS = 25 MHz equivalent fVCO = 50 MHz: REFDV = #$00, SYNR = #$00, Cs = 4700 pF, Cp = 470 pF, Rs = 2.2 k.
MC9S12NE64 Data Sheet, Rev 1.0 528 Freescale Semiconductor
A.13
EMAC Electrical Characteristics
NOTE The electrical characteristics given in the EMAC section are preliminary and should be used as a guide only. Values cannot be guaranteed by Freescale Semiconductor and are subject to change without notice.
A.13.1
MII Timing
The following MII timing is based on IEEE Std 802.3.
A.13.1.1
MII Receive Signal Timing (MII_RXD[3:0], MII_RXDV, MII_RXER, MII_RXCLK)
Table A-14. MII Receive Signal Timing
Num M1 M2 M3 M4
Characteristic RXD[3:0], RXDV, RXER setup to RXCLK rise RXCLK rise to RXD[3:0], RXDV, RXER hold RXCLK pulse width high RXCLK pulse width low
Min 10 10 35% 35%
Max -- -- 65% 65%
Unit ns ns RXCLK period RXCLK period
M3
RXCLK (input)
M4
RXD[3:0] (inputs) RXDV RXER
M1 M2
Figure 18-19. MII Receive Signal Timing Diagram
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 529
A.13.1.2
MII Transmit Signal Timing (TXD[3:0], TXEN, TXER, TXCLK)
Table A-15. MII Transmit Signal Timing
Num M5 M6 M7 M8 Characteristic TXCLK rise to TXD[3:0], TXEN, TXER invalid TXCLK rise to TXD[3:0], TXEN, TXER valid TXCLK pulse width high TXCLK pulse width low Min 0 -- 35% 35% Max -- 25 65% 65% Unit ns ns TXCLK period TXCLK period
M7
TXCLK (input)
M5 M8
TXD[3:0] (outputs) TXEN TXER
M6 Figure A-2. MII Transmit Signal Timing Diagram
A.13.1.3
MII Asynchronous Inputs Signal Timing (CRS, COL)
Table A-16. MII Transmit Signal Timing
Num M9
Characteristic CRS, COL minimum pulse width
Min 1.5
Max --
Unit TXCLK period
MC9S12NE64 Data Sheet, Rev 1.0 530 Freescale Semiconductor
CRS, COL
M9
Figure A-3. MII Asynchronous Inputs Timing Diagram
A.13.1.4
MII Management Timing (MDIO, MDC)
Table A-17. MII Management Signal Timing
Num M10 M11 M12 M13 M14 M15
Characteristic MDC rise to MDIO (output) invalid MDC rise to MDIO (output) valid MDIO (input) setup to MDC rise MDC rise to MDIO (input) hold MDC pulse width high MDC pulse width low
Min 10 -- 100 0 40% 40%
Max -- 390 -- -- 60% 60%
Unit ns ns ns ns MDC period MDC period
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 531
M14
M15
MDC (output)
M10
MDIO (output)
M11
MDIO (input)
M12
M13
Figure A-4. MII Serial Management Channel Timing Diagram
MC9S12NE64 Data Sheet, Rev 1.0 532 Freescale Semiconductor
A.14
EPHY Electrical Characteristics
NOTE The electrical characteristics given in the EPHY section are preliminary and should be used as a guide only. Values cannot be guaranteed by Freescale Semiconductor and are subject to change without notice.
Table A-18. 10BASE-T SQE (Heartbeat) Timing Parameters
Num 1 2
C D D
Parameter COL (SQE) delay after TXEN off COL (SQE) pulse duration
Sym t1 t2
Min
Typ 1.0 1.0
Max
Units s s
Typical values are at 25C. 1 BT = Bit Time = 100 ns
TXC
TXEN
t1
t2
COL
Figure A-5. 10BASE-T SQE (Heartbeat) Timing
A.14.1
10BASE-T Jab and Unjab Timing
Table A-19. 10BASE-T Jab and Unjab Timing Parameters
Num 1 2
C D D
Parameter Maximum Transmit time Unjab time
Sym t1 t2
Min
Typ 98 525
Max
Units ms ms
Typical values are at 25C. 1 BT = Bit Time = 100 ns
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 533
TXEN
t1
TXD
COL
t2
Figure A-6. 10BASE-T SQE (Heartbeat) Timing
A.14.2
A.14.2.1
Auto Negotiation
MII - 100BASE-TX Transmit Timing Parameters
Table A-20. MII - Auto Negotiation and Fast Link Pulse Timing Parameters
Num 1 2 3 4 5 6
C D D D D D D
Parameter Clock/data pulse width Clock pulse to clock pulse Clock pulse to data pulse (data = 1) Pulses in a burst FLP burst width FLP burst to FLP burst
Sym t1 t2 t3 t4 t5 t6
Min
Typ 100
Max
Units ns s s # ms ms
111 55.5 17
125 62.5 2
139 69.5 33
8
16
24
Typical values are at 25C. 1 BT = Bit Time = 100 ns These parameters are the minimum and maximum times as specified in section 24.6 of the IEEE 802.3u Standard
t2 t3 t1 t1
TX+
Clock Pulse
Data Pulse
Clock Pulse
Figure A-7. Auto-Negotiation and Fast Link Pulse Timing
MC9S12NE64 Data Sheet, Rev 1.0 534 Freescale Semiconductor
A.14.2.2
MII -- 10BASE-T Receive Timing
Table A-21. Auto-Negotiation and Fast Link Pulse Timing
Num 1 2 3 4 5 6 7 8
C D D D D D D D D
Parameter Transmit FLNP width Receive FLNP width Clock/data pulse width Clock FLNP to clock FLNP Clock FLNP to data FLNP (data = 1) Pulses in a burst FLNP burst width FLNP burst to FLNP burst
Sym
Min 1.25 1
Typ 1.5 1.5 100 125 62.5 2
Max 1.75 2 139 69.5 33
Units s s ns s s # ms ms
t1 t2 t3 t4 t5 t6 8 111 55.5 17
16
24
Typical values are at 25C. 1 BT = Bit Time = 100 ns
FLP BURST FLP BURST
TX+
t5 t6
Figure A-8. Fast Link Pulse Timing
t2 t3 t1 t1
TX+
Clock Pulse
Data Pulse
Clock Pulse
Figure A-9. Auto-Negotiation Pulse Timing
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 535
FLNP Burst
FLNP Burst
TX+
t5 t6
Figure A-10. Fast Link Pulse Timing
Table A-22. 10BASE-T Transceiver Characteristics
Num 1 C D Parameter Peak differential output voltage Transmit timing jitter Receive dc input impedance Receive differential squelch level Sym VOP Min 2.2 Typ 2.5 Max 2.8 Units V Test Conditions With specified transformer and line replaced by 100 (1%) load Using line model specified in the IEEE 802.3 0.0 < Vin < 3.3 V 3.3 MHz sine wave input
2 3 4
D D D
-- Zin Vsquelch
0 -- 300
2 10 400
11 -- 585
ns k mV
Table A-23. 100BASE-TX Transceiver Characteristics
Num 1 C D Parameter Transmit Peak Differential Output Voltage Transmit Signal Amplitude Symmetry Transmit Rise/Fall Time Sym VOP Min 0.95 Typ 1.00 Max 1.05 Units V Test Conditions With specified transformer and line replaced by 100 ( 1%) load With specified transformer and line replaced by 100 (1%) load With specified transformer and line replaced by 100 (1%) load See IEEE 802.3 for details
2
D
Vsym
98
100
102
%
3
D
trf
3
4
5
ns
4 5 6 7 8
D D D D D
Transmit Rise/Fall Time Symmetry Transmit Overshoot/UnderShoot Transmit Jitter Receive Common Mode Voltage Receiver Maximum Input Voltage
trfs Vosh -- Vcm Vmax
-0.5 -- 0 -- --
0 2.5 .6 1.6 --
+0.5 5 1.4 -- 4.7
ns % ns V V
VDDRX = 2.5 V VDDRX = 2.5 V. Internal circuits protected by divider in shutdown
MC9S12NE64 Data Sheet, Rev 1.0 536 Freescale Semiconductor
Table A-24. EPHY Operating Conditions
Num 1 2 3 4 5 6
1
Parameter Crystal 1 Bus clock in single chip mode -- 10 Mbps operation Bus clock in external mode -- 10 Mbps operation Bus clock in single chip mode -- 100 Mbps operation Bus clock in external mode -- 100 Mbps Bias resistor
Min 25 2.5 2.5 25
Typ -- -- -- -- 12.4, 1%
Max 25 25 fo 25
Units MHz MHz MHz MHz k
Not available
Crystal tolerance must conform to IEEE requirements.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 537
A.15
A.15.1
FLASH NVM Electrical Characteristics
NVM timing
The time base for all NVM program or erase operations is derived from the oscillator. A minimum oscillator frequency fNVMOSC is required for performing program or erase operations. The NVM modules do not have any means to monitor the frequency and will not prevent program or erase operation at frequencies above or below the specified minimum. Programming or erasing the NVM modules at a lower frequency will not result in a full program or erase transition. The FLASH program and erase operations are timed using a clock derived from the oscillator using the FCLKDIV register. The frequency of this clock must be set within the limits specified as fNVMOP. The minimum program and erase times shown in Table A-25 are calculated for maximum fNVMOP and maximum fbus. The maximum times are calculated for minimum fNVMOP and a fbus of 2 MHz.
A.15.1.1
Single Word Programming
The programming time for single word programming is dependent on the bus frequency as a well as on the frequency fNVMOP and can be calculated according to the following formula.
1 1 t swpgm = 9 --------------------- + 25 ---------f NVMOP f bus
A.15.1.2 Burst Programming
FLASH programming where up to 32 words in a row can be programmed consecutively using burst programming by keeping the command pipeline filled. The time to program a consecutive word can be calculated as:
1 1 t bwpgm = 4 --------------------- + 9 ---------f NVMOP f bus
The time to program a whole row is:
t brpgm = t swpgm + 31 t bwpgm
Burst programming is more than two times faster than single word programming.
A.15.1.3
Sector Erase
Erasing a 512 byte FLASH sector takes:
1 t era 4000 --------------------f NVMOP
The setup times can be ignored for this operation.
MC9S12NE64 Data Sheet, Rev 1.0 538 Freescale Semiconductor
A.15.1.4
Mass Erase
Erasing a NVM block takes:
1 t mass 20000 --------------------f NVMOP
The setup times can be ignored for this operation.
Table A-25. NVM Timing Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1 2 3 4 5 6 7 8 9
1 2 3 4 5 6
C
D D D P D D P P D
Rating
External oscillator clock Bus frequency for programming or erase operations Operating frequency Single Word programming time FLASH burst programming consecutive word FLASH burst programming time for 32 words Sector erase time Mass erase time Blank check time FLASH per block
Symbol
fNVMOSC fNVMBUS fNVMOP tswpgm tbwpgm tbrpgm tera tmass t check
Min
0.5 1 150 46 2 20.42 678.42 20 4 1004 11 5
Typ
Max
50 1
Unit
MHz MHz
200 74.5 3 313 1035.53 26.73 1333 32778 6
kHz s s s ms ms tcyc
Restrictions for oscillator in crystal mode apply! Minimum programming times are achieved under maximum NVM operating frequency f NVMOP and maximum bus frequency fbus. Maximum erase and programming times are achieved under particular combinations of f NVMOP and bus frequency f bus. Minimum Erase times are achieved under maximum NVM operating frequency f NVMOP. Minimum time, if first word in the array is not blank Maximum time to complete check on an erased block.
A.15.2
NVM Reliability
The reliability of the NVM blocks is guaranteed by stress test during qualification, constant process monitors and burn-in to screen early life failures. The failure rates for data retention and program/erase cycling are specified at < 2 ppm defects over lifetime at the operating conditions noted. A program/erase cycle is specified as two transitions of the cell value from erased programmed erased, 1 0 1.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 539
NOTE All values shown in Table A-26 are target values and subject to further extensive characterization.
Table A-26. NVM Reliability Characteristics
Conditions are shown in Table A-4 unless otherwise noted
Num
1 2 3
C
C C C
Rating
Data retention at an average junction temperature of TJavg = 85C Data retention at a junction temperature of TJ = 140C FLASH number of program/erase cycles
Symbol
tNVMRET tNVMRET nFLPE
Min
15 10 10,000
Typ
Max
Unit
Years Years Cycles
MC9S12NE64 Data Sheet, Rev 1.0 540 Freescale Semiconductor
A.16
SPI Electrical Characteristics
This section provides electrical parametrics and ratings for the SPI. In Table A-27 the measurement conditions are listed.
Table A-27. Measurement Conditions Description
Drive mode Load capacitance CLOAD, on all outputs Thresholds for delay measurement points
Value
full drive mode 50 (20% / 80%) VDDX
Unit
-- pF V
A.16.1
Master Mode
In Figure A-11 the timing diagram for master mode with transmission format CPHA=0 is depicted.
SS1 (OUTPUT) 2 SCK (CPOL = 0) (OUTPUT) SCK (CPOL = 1) (OUTPUT) 5 MISO (INPUT) 10 MOSI (OUTPUT)
1.if configured as an output. 2. LSBF = 0. For LSBF = 1, bit order is LSB, bit 1, ..., bit 6, MSB.
1 4 4
12
13
3
12
13
6 MSB IN2 BIT 6 . . . 1 9 MSB OUT2 BIT 6 . . . 1 LSB OUT LSB IN 11
Figure A-11. SPI Master Timing (CPHA=0)
In Figure A-12 the timing diagram for master mode with transmission format CPHA=1 is depicted.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 541
SS1 (OUTPUT) 1 2 SCK (CPOL = 0) (OUTPUT) 4 SCK (CPOL = 1) (OUTPUT) 5 MISO (INPUT) 9 MOSI (OUTPUT) PORT DATA
1.If configured as output 2. LSBF = 0. For LSBF = 1, bit order is LSB, bit 1, ..., bit 6, MSB.
12
13
3
4
12
13
6 MSB IN2 BIT 6 . . . 1 11 LSB IN
MASTER MSB OUT2
BIT 6 . . . 1
MASTER LSB OUT
PORT DATA
Figure A-12. SPI Master Timing (CPHA=1)
In Table A-28 the timing characteristics for master mode are listed.
Table A-28. SPI Master Mode Timing Characteristics Num
1 1 2 3 4 5 6 9 10 11 12 13
C
P P D D D D D D D D D D
Characteristic
SCK Frequency SCK Period Enable Lead Time Enable Lag Time Clock (SCK) High or Low Time Data Setup Time (Inputs) Data Hold Time (Inputs) Data Valid after SCK Edge Data Valid after SS fall (CPHA=0) Data Hold Time (Outputs) Rise and Fall Time Inputs Rise and Fall Time Outputs
Symbol
fsck tsck tlead tlag twsck tsu thi tvsck tvss tho trfi trfo
Min
1/2048 2 -- -- -- 8 8 -- -- 20 -- --
Typ
-- -- 1/2 1/2 1/2 -- -- -- -- -- -- --
Max
1/2 2048 -- -- -- -- -- 30 15 -- 8 8
Unit
fbus tbus tsck tsck tsck ns ns ns ns ns ns ns
A.16.2
Slave Mode
In Figure A-13 the timing diagram for slave mode with transmission format CPHA = 0 is depicted.
MC9S12NE64 Data Sheet, Rev 1.0 542 Freescale Semiconductor
SS (INPUT) 1 SCK (CPOL = 0) (INPUT) 2 SCK (CPOL = 1) (INPUT) 10 7 MISO (OUTPUT) see note 5 MOSI (INPUT)
NOTE: Not defined!
12
13
3
4
4
12
13 8
9 SLAVE MSB 6 MSB IN BIT 6 . . . 1 BIT 6 . . . 1
11
11 SEE NOTE
SLAVE LSB OUT
LSB IN
Figure A-13. SPI Slave Timing (CPHA = 0)
In Figure A-14 the timing diagram for slave mode with transmission format CPHA = 1 is depicted.
SS (INPUT) 1 2 SCK (CPOL = 0) (INPUT) 4 SCK (CPOL = 1) (INPUT) 9 MISO (OUTPUT) see note 7 MOSI (INPUT)
NOTE: Not defined!
3 12 13
4
12
13
11 MSB OUT 6 BIT 6 . . . 1 SLAVE LSB OUT
8
SLAVE 5
MSB IN
BIT 6 . . . 1
LSB IN
Figure A-14. SPI Slave Timing (CPHA = 1)
In Table A-29 the timing characteristics for slave mode are listed.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 543
Table A-29. SPI Slave Mode Timing Characteristics Num
1 1 2 3 4 5 6 7 8 9 10 11 12 13
1t bus
C
P P D D D D D D D D D D D D
Characteristic
SCK Frequency SCK Period Enable Lead Time Enable Lag Time Clock (SCK) High or Low Time Data Setup Time (Inputs) Data Hold Time (Inputs) Slave Access Time (time to data active) Slave MISO Disable Time Data Valid after SCK Edge Data Valid after SS fall Data Hold Time (Outputs) Rise and Fall Time Inputs Rise and Fall Time Outputs
Symbol
fsck tsck tlead tlag twsck tsu thi ta tdis tvsck tvss tho trfi trfo
Min
DC 4 4 4 4 8 8 -- -- -- -- 20 -- --
Typ
-- -- -- -- -- -- -- -- -- -- -- -- -- --
Max
1/4 -- -- -- -- -- 20 22 30 + tbus -- 8 8
1
Unit
fbus tbus tbus tbus tbus ns ns
ns
ns
ns ns ns ns ns
30 + tbus 1
added due to internal synchronization delay
MC9S12NE64 Data Sheet, Rev 1.0 544 Freescale Semiconductor
A.17
Voltage Regulator Operating Characteristics
Table A-30. VREG_PHY - Operating Conditions
This section describes the characteristics of the on-chip voltage regulator (VREG_PHY).
Num
1 2
C
P P
Characteristic
Input Voltages Regulator Current Reduced Power Mode Shutdown Mode Output Voltage Core Full Performance Mode Reduced Power Mode Shutdown Mode Output Voltage PLL Full Performance Mode Reduced Power Mode 2 Shutdown Mode Low Voltage Reset 4 Assert Level Deassert Level Power-on Reset 5 Assert Level Deassert Level
Symbol
VVDDR,A,X1,X2 IREG
Min
3.135 -- --
Typical
-- 20 12 2.5 2.5
1
Max
3.465 50 40 2.625 2.75 -- 2.625 2.75 --
Unit
V A A V V V V V V
3
P
VDD
2.375 1.6 -- 2.375 1.6 --
4
P
VDDPLL
2.5 2.5
3
5
P
VLVRA VLVRD VPORA VPORD
2.25 --
-- --
-- 2.55
V V
7
C
0.97 --
-----
-- 2.05
V V
High Impedance Output Current IDDPLL = 3 mA (Pierce Oscillator) 3 High Impedance Output 4 Monitors V , active only in Full Performance Mode. V DD LVRA and VPORD must overlap 5 Monitors V . Active in all modes. DD
2
1
NOTE The electrical characteristics given in this section are preliminary and should be used as a guide only. Values in this section cannot be guaranteed by Freescale Semiconductor and are subject to change without notice.
A.17.1
MCU Power-Up and LVR Graphical Explanation
Voltage regulator sub modules POR (power-on reset) and LVR (low-voltage reset) handle chip power-up or drops of the supply voltage. Their function is described in Figure A-15.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 545
V
VDD
VLVRD VLVRA VPORD
t
POR
LVR
Figure A-15. Voltage Regulator -- MCU Power-Up And Voltage Drops (Not Scaled)
A.17.2
A.17.2.1
Output Loads
Resistive Loads
The on-chip voltage regulator is intended to supply the internal logic and oscillator circuits allows no external DC loads.
A.17.2.2
Capacitive Loads
The capacitive loads are specified in Table A-31. Ceramic capacitors with X7R dielectricum are required.
Table A-31. Voltage Regulator -- Capacitive Loads Num
1 2 2 2 2
Characteristic
VDD external capacitive load PHY_VDDTX external capacitive load PHY_VDDRX external capacitive load PHY_VDDA external capacitive load VDDPLL external capacitive load
Symbol
CDDext CDDPLLext CDDPLLext CDDPLLext CDDPLLext
Min
200 90 90 90 90
Typical
440 220 220 220 220
Max
12000 5000 5000 5000 5000
Unit
nF nF nF nF nF
MC9S12NE64 Data Sheet, Rev 1.0 546 Freescale Semiconductor
A.18
External Bus Timing
A timing diagram of the external multiplexed-bus is illustrated in Figure 18-20 with the actual timing values shown on table Table A-32. All major bus signals are included in the diagram. Although both a data write and data read cycle are shown, only one or the other would occur on a particular bus cycle. The expanded bus timings are highly dependent on the load conditions. The timing parameters shown assume a balanced load across all outputs.
1, 2 3 ECLK PE4 5 9 Addr/Data (read) PA, PB data 6 15 addr 7 12 Addr/Data (write) PA, PB data addr 8 14 data 13 16 10 data 11 4
17 R/W PE2
18
19
20 LSTRB PE3
21
22
23 NOACC PE7
24
25
26 PIPO0 PIPO1, PE6,5
27
28
29
Figure 18-20. General External Bus Timing
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 547
Table A-32. Expanded Bus Timing Characteristics (3.3 V Range)
Conditions are VDDX = 3.3 V 5%, Junction Temperature -40C to +125C, CLOAD = 50 pF Num 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29
1Affected
C P P D D D D D D D D D D D D D D D D D D D D D D D D D D D
Rating Frequency of operation (E-clock) Cycle time Pulse width, E low Pulse width, E high 1 Address delay time Address valid time to E rise (PWEL-tAD) Muxed address hold time Address hold to data valid Data hold to address Read data setup time Read data hold time Write data delay time Write data hold time Write data setup time1 (PWEH-tDDW) Address access time1 E high access time1 (PWEH-tDSR) Read/write delay time Read/write valid time to E rise (PWEL-tRWD) Read/write hold time Low strobe delay time Low strobe valid time to E rise (PWEL-tLSD) Low strobe hold time NOACC strobe delay time NOACC valid time to E rise (PWEL-tLSD) NOACC hold time IPIPO[1:0] delay time IPIPO[1:0] valid time to E rise (PWEL-tP0D) IPIPO[1:0] delay time1 IPIPO[1:0] valid time to E fall
Symbol fo tcyc PWEL PWEH tAD tAV tMAH tAHDS tDHA tDSR tDHR tDDW tDHW tDSW tACCA tACCE tRWD tRWV tRWH tLSD tLSV tLSH tNOD tNOV tNOH tP0D tP0V tP1D tP1V
Min 0 62.5 30 30
Typ
Max 16.0
Unit MHz ns ns ns
16 16 2 7 2 15 0 15 2 15 29 15 14 16 2 14 16 2 14 16 2 2 16 2 11 25 14
ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns
by clock stretch: add N x tcyc where N=0,1,2 or 3, depending on the number of clock stretches.
MC9S12NE64 Data Sheet, Rev 1.0 548 Freescale Semiconductor
Appendix B Schematic and PCB Layout Design Recommendations
B.1 Introduction
This sections provides recommendations for schematic and PCB layout design for implementing an Ethernet interface with the MC9S12NE64 microcontroller unit (MCU).
B.1.1
Schematic Designing with the MC9S12NE64 and Adding an Ethernet Interface
Figure B-1 is a schematic of a MC9S12NE64 80-pin package minimum system implementation configured in normal-chip mode and utilizing the internal voltage regulator. This configuration is the recommended implementation for the MC9S12NE64. The schematic provides a reference for the following MC9S12NE64 design items. * Operation mode * Clocks * Power * Ethernet, high-speed LAN magnetics isolation module, and RJ45 Ethernet connector * EPHY status indicators * Background debug connector (J1) To configure the MC9S12NE64 in normal single-chip mode, the MODC, MODB, and MODA pins should be configured as documented in the device overview chapter of this book.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 549
C1 0.22
3.3V
79
78
74
73
72
71
70
69
64
63
68
62
PAD1/ AN1
66
65
80
77
76
75
U1
VSSA
VSS1
VRL
VDDA
VDD1
VRH
PAD7/ AN7
PAD6/ AN6
PAD5/ AN5
PAD4/ AN4
PAD3/ AN3
PAD2/ AN2
PT5/ TIM_ IOC5
PT6/ TIM_ IOC6
PJ6/ KWJ6/ IIC_SDA
PJ7/ KWJ7/ IIC_ SCL
PT4/ TIM_ IOC4
PT7/ TIM_ IOC7
PAD0/ AN0
61
67
3.3V 3.3V
1
2
3
4
5
MII_TXER/KWH6/PH6 MII_TXEN/KWH5/PH5 MII_TXCLK/KWH4/PH4 MII_TXD3/KWH3/PH3 MII_TXD2/KWH2/PH2 MII_TXD1/KWH1/PH1 MII_TXD0/KWH0/PH0 MII_MDC/KWJ0/PJ0 MII_MDIO/KWJ1/PJ1 VDDX1 VSSX1 MII_CRS/KWJ2/PJ2 MII_COL/KWJ3/PJ3 MII_RXD0/KWG0/PG0 MII_RXD1/KWG1/PG1 MII_RXD2/KWG2/PG2 MII_RXD3/KWG3/PG3 MII_RXCLK/KWG4/PG4 MII_RXDV/KWG5/PG5
PL0/ACTLED PL1/LNKLED VDDR PL2/SPDLED PHY_VSSRX PHY_VDDRX PHY_RXN PHY_RXP PHY_VSSTX
60 60
PL0/ACTLED PL0/ACTLED
59 59
C2 C2 0.01 0.01
TRANSFORMER // RJ-45 CONNECTOR TRANSFORMER RJ-45 CONNECTOR
PL1/LNKLED
3.3V 3.3V
PL2/SPDLED PL2/SPDLED
58 58
57 57
56 56
R1 R1 49.9 49.9
R2 R2 49.9 49.9
T1 T1
6 6
C3 C3
0.22 0.22
MCU SIDE MCU SIDE
RR-
CABLE SIDE CABLE SIDE
J6 J6 J7 J7 J8 J8 J3 J3 6 6 7 7 8 8 3 3
6
7
8
55 55
54 54
5 5
75 OHMS 75 OHMS
CT CT R+ R+
53 53
4 4
9
52 52
RJ-45 RJ-45
3 3
2 2
TT75 OHMS 75 OHMS
3.3V
10
11
MC9S12NE64
PHY_TXN PHY_TXP PHY_VDDTX PHY_VDDA PHY_VSSA PHY_RBIAS VDD2 VSS2 PL3/DUPLED PL4/COLLED
51 51
50 50
12
13
49 49
C4 C4
0.22 0.22
CT CT T+ T+ ..
1000 pF 1000 pF 2kV 2kV
1 1
J2 J2 J4 J4 J5 J5 J1 J1
2 2 4 4 5 5 1 1
48 48
C5
0.22
R3 R3 49.9 49.9
R4 R4 49.9 49.9
8 8
14
47 47
15
46 46
R5 RBIAS
16
45
C6
0.22
EARTH/CHASSIS EARTH/CHASSIS
17
18
19
20
44
43
PL3/DUPLED PL3/DUPLED
42
41
PL4/COLLED PL4/COLLED
OPTIONAL STATUS LED's OPTIONAL STATUS LED's
3.3V 3.3V
3.3V 3.3V
SCI0_ RXD/ PS0
SCI1_ RXD/ PS2
SCI1_ TXD/ PS3
SPI_ MISO/ PS4
SCI0_ TXD/ PS1
SPI_ MOSI/ PS5
MII_RXER/KWG6/PG6
BKGD/MODC
SPI_ SCK/ PS6
R13 R13
LED1 LED1
SPI_SS/ PS7
XIRQ/ PE0
ECLK/PE4 ECLK/PE4
RESET
VDDX2
VSSX2
IRQ/ PE1
VDDPLL
VSSPLL
10k 10k
R6 R6 220 220
R14 R14 10k 10k
PL1/LNKLED PL1/LNKLED
EXTAL
TEST
XTAL
XFC
LNK_LED LNK_LED
J1
1 3 5
12 34 56
2 4 6
3.3V 3.3V
34
35
36
37
38
39
21 21
31 31
23 23
24 24
22 22
25 25
26 26
27 27
28 28
29 29
30 30
32
33
40
*RESET *RESET
LED2 LED2
R7 R7 220 220
PL2/SPDLED PL2/SPDLED
SPD_LED SPD_LED
BACKGROUND DEBUG
3.3V
*RESET *RESET
LED3 LED3
PL3/DUPLED PL3/DUPLED
R8 R8 220 220
DUP_LED DUP_LED
C7 0.22
25 MHz Y1
PL0/ACTLED PL0/ACTLED
R10
ACT_LED ACT_LED LED4 LED4
R9 R9 220 220
C10
470 pF
10M
C11 4700 pF
R11 2.2k
LED5 LED5
15 pF C8
15 pF C9 pF C9
R12 R12 220 220
PL4/COLLED PL4/COLLED
COL_LED COL_LED
B.1.2
Power Supply Notes
A 3.3-V power supply is required. This power supply shall be compatible with Table A-7. Supply Current Characteristics.
B.1.3
Clocking Notes
For basic operation of the MC9S12NE64, a 25-MHz crystal is required to provide the clock input to the integrated PHY. The crystal must connect to the MC9S12NE64 in a Pierce configuration by the XTAL and EXTAL pins as shown in Figure B-1. In addition to providing a 25-MHz crystal input, to operate at 100 Mbps, the internal bus clock must be configured as shown in the EPHY electrical characteristics.
B.1.4
EPHY Notes
Figure B-2 provides a close-up view of the EPHY pin connections to a high-speed LAN magnetics isolation module and RJ45 Ethernet connector.
Figure B-2. Ethernet Interface Circuitry
B.1.5
EPHY LED Indicator Notes
The EPHY can be configuring by software to drive indicator pins (PTL[5:0]) automatically by setting the LEDEN bit of the EPHY EPHYCTL0 register. When LEDEN = 1, PTL[5:0] pins are dedicated to the EPHY.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 551
Appendix B Schematic and PCB Layout Design Recommendations
B.2
PCB Design Recommendation
The section provides recommendations for general HCS12 PCB design and recommendations for PCB design with Ethernet.
B.2.1
General PCB Design Recommendations
The PCB layout must be designed to ensure proper operation of the voltage regulator and the MCU. The following recommendations are provided to ensure a robust PCB design: * Every supply pair must be decoupled by a ceramic capacitor connected as near as possible to the corresponding pins (C1 - C6). * Central point of the ground star should be the VSSX pin. * Use low ohmic low inductance connections between VSS1, VSS2 and VSSX. * VSSPLL must be directly connected to VSSX. * Keep traces of VSSPLL, EXTAL and XTAL as short as possible and occupied board area for C7,C8, C11 and Q1 as small as possible. * Do not place other signals or supplies underneath area occupied by C7, C8, C10 and Q1 and the connection area to the MCU. * Central power input should be fed in at the VDDA/VSSA pins.
B.2.2
Ethernet PCB Design Recommendations
When designing a PCB that uses the MC9S12NE64 Ethernet module, several design considerations must be made to ensure that Ethernet operation conforms to the IEEE 802.3 physical interface specification. These Ethernet PCB design recommendations include: * The distance between the magnetic module and the RJ-45 jack is the most critical and must always be as short as possible (less than one inch). * Never use 90 traces. Use 45 angles or radius curves in traces. * Trace widths of 0.010" are recommended. Wider is better. Trace widths should not vary. * Route differential Tx and Rx pairs near together (max 0.010" separation with 0.010" traces). * Trace lengths must always be as short as possible (must be less than one inch). * Make trace lengths as equal as possible. * Keep TX and RX differential pairs routes separated (at least 0.020" separation). Better to separate with a ground plane. * Avoid routing Tx and Rx traces over or under a plane. Areas under the Tx and Rx traces should be open. * Use precision components in the line termination circuitry with 1% tolerance. * Ensure that the power supply is rated for a load of 300 mA minimum. * Avoid vias and layer changes.
MC9S12NE64 Data Sheet, Rev 1.0 552 Freescale Semiconductor
*
*
All termination resistors should be near to the driving source. The MCU is the driving source for PHY_TXP and PHY_TXN pins. The high-speed LAN magnetics isolation module is the driving source for PHY_RXP and PHY_RXN pins. 4-layer PCBs recommended to provide better heat dissipation
B.2.2.1
High-Speed LAN Magnetics Isolation Module Requirements
The MC9S12NE64 requires a 1:1 ratio for the high-speed LAN magnetics isolation module for both the receive and the transmit signals. Because the MC9S12NE64 does not implement Auto-MDIX, an Auto-MDIX capable high-speed LAN magnetics isolation module is not required. A high-speed LAN magnetics isolation module with improved return loss characteristics is recommended to avoid Ethernet return loss issues.
B.2.2.2
80-Pin Package Exposed Flag
The 80-pin TQFP-EP package has an exposed flag for heat dissipation and requires special PCB layout to accommodate the flag. There are two ways to accommodate the flag: * Have a hatched pattern in the solder mask * Use small copper areas under the flag The requirement is to have about 50% of the flag soldered to the PC board.
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 553
Appendix B Schematic and PCB Layout Design Recommendations
MC9S12NE64 Data Sheet, Rev 1.0 554 Freescale Semiconductor
Appendix C Package Information
C.1
PIN 1 IDENT 1
112-Pin LQFP Package
4X 112
0.20 T L-M N
4X 28 TIPS 85 84
0.20 T L-M N
J1 J1 C L
4X
P
VIEW Y
108X
G
X X=L, M OR N
VIEW Y B L M B1 V1 V
J
AA
28
57
F D 0.13
M
BASE METAL
29
56
T L-M N
N A1 S1 A S
ROTATED 90 COUNTERCLOCKWISE NOTES: 1. DIMENSIONING AND TOLERANCING PER ASME Y14.5M, 1994. 2. DIMENSIONS IN MILLIMETERS. 3. DATUMS L, M AND N TO BE DETERMINED AT SEATING PLANE, DATUM T. 4. DIMENSIONS S AND V TO BE DETERMINED AT SEATING PLANE, DATUM T. 5. DIMENSIONS A AND B DO NOT INCLUDE MOLD PROTRUSION. ALLOWABLE PROTRUSION IS 0.25 PER SIDE. DIMENSIONS A AND B INCLUDE MOLD MISMATCH. 6. DIMENSION D DOES NOT INCLUDE DAMBAR PROTRUSION. ALLOWABLE DAMBAR PROTRUSION SHALL NOT CAUSE THE D DIMENSION TO EXCEED 0.46. MILLIMETERS MIN MAX 20.000 BSC 10.000 BSC 20.000 BSC 10.000 BSC --1.600 0.050 0.150 1.350 1.450 0.270 0.370 0.450 0.750 0.270 0.330 0.650 BSC 0.090 0.170 0.500 REF 0.325 BSC 0.100 0.200 0.100 0.200 22.000 BSC 11.000 BSC 22.000 BSC 11.000 BSC 0.250 REF 1.000 REF 0.090 0.160 8 0 7 3 13 11 11 13
SECTION J1-J1
C2 C 0.050 2
VIEW AB 0.10 T
112X
SEATING PLANE
3 T
R
R2 0.25
GAGE PLANE
R
R1
C1 (Y) (Z) VIEW AB
(K) E
1
DIM A A1 B B1 C C1 C2 D E F G J K P R1 R2 S S1 V V1 Y Z AA 1 2 3
Figure C-1. 112-Pin LQFP Mechanical Drawing (Case No. 987-01)
MC9S12NE64 Data Sheet, Rev 1.0 Freescale Semiconductor 555
How to Reach Us: Home Page: www.freescale.com
USA/Europe or Locations Not Listed: Freescale Semiconductor Technical Information Center, CH370 1300 N. Alma School Road Chandler, Arizona 85224 1-800-521-6274 or 480-768-2130 Europe, Middle East, and Africa: +44 1296 380 456 (English) +46 8 52200080 (English) +49 89 92103 559 (German) +33 1 69 35 48 48 (French) Japan: Freescale Semiconductor Japan Ltd. Technical Information Center 3-20-1, Minami-Azabu, Minato-ku Tokyo 106-0047, Japan 0120-191014 or +81-3-3440-3569 Asia/Pacific: Freescale Semiconductor Hong Kong Ltd. Technical Information Center 2 Dai King Street Tai Po Industrial Estate Tai Po, N.T., Hong Kong 852-26668334 For Literature Requests Only: Freescale Semiconductor Literature Distribution Center P.O. Box 5405 Denver, Colorado 80217 1-800-441-2447 or 303-675-2140 Fax: 303-675-2150
RoHS-compliant and/or Pb- free versions of Freescale products have the functionality and electrical characteristics of their non-RoHS-compliant and/or non-Pb- free counterparts. For further information, see http://www.freescale.com or contact your Freescale sales representative. For information on Freescale.s Environmental Products program, go to http://www.freescale.com/epp.
Information in this document is provided solely to enable system and software implementers to use Freescale Semiconductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. Freescale Semiconductor reserves the right to make changes without further notice to any products herein. Freescale Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. "Typical" parameters that may be provided in Freescale Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including "Typicals", must be validated for each customer application by customer's technical experts. Freescale Semiconductor does not convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Freescale Semiconductor product could create a situation where personal injury or death may occur. Should Buyer purchase or use Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Freescale Semiconductor was negligent regarding the design or manufacture of the part.
FreescaleTM and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. The ARM POWERED logo is a registered trademark of ARM Limited. ARM7TDMI-S is a trademark of ARM Limited. Java and all other Java-based marks are trademarks or registered trademarks of Sun Microsystems, Inc. in the U.S. and other countries. The Bluetooth trademarks are owned by their proprietor and used by Freescale Semiconductor, Inc. under license. (c) Freescale Semiconductor, Inc. 2004. All rights reserved. MC9S12NE64V1 Rev. 1.0 09/2004


▲Up To Search▲   

 
Price & Availability of MC9S12NE64V1

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X